Skip to content

Console Output

Started by timer
Running as SYSTEM
Building remotely on build2-deb11build-ansible (ttcn3 obs osmocom-gerrit coverity osmocom-master) in workspace /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest
The recommended git tool is: NONE
No credentials specified
Wiping out workspace first.
Cloning the remote Git repository
Cloning repository https://gerrit.osmocom.org/docker-playground
 > git init /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest # timeout=10
Fetching upstream changes from https://gerrit.osmocom.org/docker-playground
 > git --version # timeout=10
 > git --version # 'git version 2.30.2'
 > git fetch --tags --force --progress -- https://gerrit.osmocom.org/docker-playground +refs/heads/*:refs/remotes/origin/* # timeout=10
 > git config remote.origin.url https://gerrit.osmocom.org/docker-playground # timeout=10
 > git config --add remote.origin.fetch +refs/heads/*:refs/remotes/origin/* # timeout=10
Avoid second fetch
Seen branch in repository origin/arehbein/devtests
Seen branch in repository origin/arehbein/devtests%topic=fixes
Seen branch in repository origin/daniel/bscnat_tests
Seen branch in repository origin/daniel/training
Seen branch in repository origin/daniel/wip
Seen branch in repository origin/fixeria/confmerge
Seen branch in repository origin/fixeria/sccplite
Seen branch in repository origin/fixeria/testing
Seen branch in repository origin/jolly/testing
Seen branch in repository origin/laforge/ergw
Seen branch in repository origin/laforge/fr
Seen branch in repository origin/laforge/ns
Seen branch in repository origin/laforge/podman
Seen branch in repository origin/lynxis/gerrit-comment-ci
Seen branch in repository origin/master
Seen branch in repository origin/neels/hnbgw-pfcp
Seen branch in repository origin/neels/wip
Seen branch in repository origin/osmith/fix-registry-pull
Seen branch in repository origin/osmith/fix-rpi-gnutls
Seen branch in repository origin/osmith/obs-2021q1
Seen branch in repository origin/osmith/rpm-local
Seen branch in repository origin/osmith/ttcn3-pass-args
Seen branch in repository origin/osmith/wip
Seen branch in repository origin/osmith/wip-4g-only
Seen branch in repository origin/osmith/wip-asan
Seen branch in repository origin/pespin/asterisk
Seen branch in repository origin/pespin/bts-perf
Seen branch in repository origin/pespin/ergw
Seen branch in repository origin/pespin/gtp1
Seen branch in repository origin/pespin/master
Seen branch in repository origin/pmaier/pcuif
Seen branch in repository origin/refsf/for/master/dyn-pdch
Seen 32 remote branches
 > git show-ref --tags -d # timeout=10
Checking out Revision f6f9f71be00879585854991f9c740951069669e0 (origin/master)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f f6f9f71be00879585854991f9c740951069669e0 # timeout=10
Commit message: "ttcn3-bsc-test: also execute tests from BSC_Tests_ASCI"
 > git rev-list --no-walk f6f9f71be00879585854991f9c740951069669e0 # timeout=10
[ttcn3-bts-test-latest] $ /bin/sh -xe /tmp/jenkins11286342537006464832.sh + export REGISTRY_HOST=registry.osmocom.org + echo ttcn3-bts-test-latest + sed s/-latest$// + DIR=ttcn3-bts-test + export IMAGE_SUFFIX=latest + cd ttcn3-bts-test + ./jenkins.sh + [ x = x ] + REPO_USER=osmocom-build + [ x/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest = x ] + VOL_BASE_DIR=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs + mkdir -p /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs + [ ! -d /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs ] + [ xjenkins-ttcn3-bts-test-latest-2067 = x ] + basename /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/ttcn3-bts-test + SUITE_NAME=ttcn3-bts-test + IMAGE_SUFFIX=latest + docker_images_require osmo-bsc-latest osmo-bts-latest osmocom-bb-host-master ttcn3-bts-test + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bsc-latest + local feed + echo debian-bookworm-obs-latest + depends=debian-bookworm-obs-latest + [ -n debian-bookworm-obs-latest ] + docker_images_require debian-bookworm-obs-latest + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-latest + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-latest + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-latest + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-latest + echo debian-bookworm-obs-latest + dir=debian-bookworm-obs-latest + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-latest/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-obs-latest (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-latest BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-latest OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/debian-bookworm-obs-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-obs-latest:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.36kB done #1 DONE 0.1s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 https://downloads.osmocom.org/packages/osmocom:/latest/Debian_12//Release #5 DONE 0.0s #6 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:08f76151d500d9e021b9f793364a3e9e534433297d16ba0152d69d1914b64d17 #6 resolve registry.osmocom.org/debian:bookworm@sha256:08f76151d500d9e021b9f793364a3e9e534433297d16ba0152d69d1914b64d17 0.0s done #6 DONE 0.0s #7 [internal] load build context #7 transferring context: 1.96kB done #7 DONE 0.0s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-latest.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 build && mkdir /build && chown -R build:build /build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-latest.asc] https://downloads.osmocom.org/packages/osmocom:/latest/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-latest.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/LATEST/DEBIAN_12//RELEASE /tmp/Release #12 CACHED #13 exporting to image #13 exporting layers done #13 writing image sha256:b89633d37e3802103699d38d95ef022bbb1306392634c6e3b5f15d339d9cabfe 0.0s done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-latest:latest done #13 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/debian-bookworm-obs-latest' + docker_image_exists debian-bookworm-obs-latest + docker images -q osmocom-build/debian-bookworm-obs-latest + test -n b89633d37e38 + list_osmo_packages debian-bookworm debian-bookworm-obs-latest + local distro=debian-bookworm + local image=debian-bookworm-obs-latest + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-latest -c + [ -n ] + return + docker_distro_from_image_name osmo-bsc-latest + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bsc-latest + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bsc-latest + echo osmo-bsc-latest + dir=osmo-bsc-latest + pull_arg=--pull + grep ^FROM ../osmo-bsc-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bsc-latest (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bsc-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bsc-latest OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmo-bsc-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bsc-latest:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 659B done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-latest:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-latest:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 8.74kB done #5 DONE 0.0s #6 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bsc osmo-bsc-ipaccess-utils && apt-get clean ;; centos*) dnf install -y osmo-bsc osmo-bsc-ipaccess-utils ;; esac #6 CACHED #7 [3/5] WORKDIR /TMP #7 CACHED #8 [4/5] COPY OSMO-BSC.CFG /data/osmo-bsc.cfg #8 CACHED #9 [5/5] WORKDIR /DATA #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:5738603892ed164382b0c66ee9f4ab2a21f8d2dc940fdb394c7a9884aa087f44 0.0s done #10 naming to docker.io/osmocom-build/osmo-bsc-latest:latest 0.0s done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmo-bsc-latest' + docker_image_exists osmo-bsc-latest + docker images -q osmocom-build/osmo-bsc-latest + test -n 5738603892ed + list_osmo_packages debian-bookworm osmo-bsc-latest + local distro=debian-bookworm + local image=osmo-bsc-latest + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bsc-latest -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bsc-latest ### ii libosmo-mgcp-client12:amd64 1.12.2 amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-sigtran9:amd64 1.8.2 amd64 Osmocom SIGTRAN library (SCCP, SUA, M3UA and more) ii libosmoabis13:amd64 1.5.2 amd64 GSM A-bis handling ii libosmocore21:amd64 1.9.2 amd64 Osmo Core library ii libosmoctrl0:amd64 1.9.2 amd64 Osmo control library ii libosmogsm20:amd64 1.9.2 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.9.2 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.4.0 amd64 Common/shared code regarding network interface for OpenBSC ii libosmovty13:amd64 1.9.2 amd64 Osmo VTY library ii osmo-bsc 1.11.1 amd64 OsmoBSC: Osmocom's Base Station Controller for 2G circuit-switched mobile networks ii osmo-bsc-ipaccess-utils 1.11.1 amd64 Command line utilities for ip.access nanoBTS ii osmocom-latest 1.0.0 amd64 Dummy package, which conflicts with: osmocom-nightly osmocom-next + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bts-latest + local feed + echo debian-bookworm-obs-latest + depends=debian-bookworm-obs-latest + [ -n debian-bookworm-obs-latest ] + docker_images_require debian-bookworm-obs-latest + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-latest + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-latest + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-latest + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-latest + echo debian-bookworm-obs-latest + dir=debian-bookworm-obs-latest + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-latest/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-obs-latest (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-latest BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-latest OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/debian-bookworm-obs-latest' rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-obs-latest:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.36kB done #1 DONE 0.0s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.0s #4 [internal] load .dockerignore #4 transferring context: #4 transferring context: 2B done #4 DONE 0.0s #5 https://downloads.osmocom.org/packages/osmocom:/latest/Debian_12//Release #5 DONE 0.0s #6 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:08f76151d500d9e021b9f793364a3e9e534433297d16ba0152d69d1914b64d17 #6 resolve registry.osmocom.org/debian:bookworm@sha256:08f76151d500d9e021b9f793364a3e9e534433297d16ba0152d69d1914b64d17 0.0s done #6 DONE 0.0s #7 [internal] load build context #7 transferring context: 1.96kB done #7 DONE 0.0s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-latest.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 build && mkdir /build && chown -R build:build /build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-latest.asc] https://downloads.osmocom.org/packages/osmocom:/latest/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-latest.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/LATEST/DEBIAN_12//RELEASE /tmp/Release #12 CACHED #13 exporting to image #13 exporting layers done #13 writing image sha256:b89633d37e3802103699d38d95ef022bbb1306392634c6e3b5f15d339d9cabfe 0.0s done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-latest:latest 0.0s done #13 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/debian-bookworm-obs-latest' + docker_image_exists debian-bookworm-obs-latest + docker images -q osmocom-build/debian-bookworm-obs-latest + test -n b89633d37e38 + list_osmo_packages debian-bookworm debian-bookworm-obs-latest + local distro=debian-bookworm + local image=debian-bookworm-obs-latest + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-latest -c + [ -n ] + return + docker_distro_from_image_name osmo-bts-latest + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bts-latest + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bts-latest + echo osmo-bts-latest + dir=osmo-bts-latest + pull_arg=--pull + grep ^FROM ../osmo-bts-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bts-latest (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bts-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bts-latest OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmo-bts-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bts-latest:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 751B done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-latest:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-latest:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 1.63kB done #5 DONE 0.0s #6 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bts-trx osmo-bts-virtual && apt-get clean ;; centos*) dnf install -y osmo-bts osmo-bts-virtual osmo-bts-omldummy ;; esac #6 CACHED #7 [3/5] WORKDIR /TMP #7 CACHED #8 [4/5] COPY OSMO-BTS.CFG /data/osmo-bts.cfg #8 CACHED #9 [5/5] WORKDIR /DATA #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:4eede071868f98ea15369ce958b01150cd00ea6e79b00bf503a13c78e7ed25bb 0.0s done #10 naming to docker.io/osmocom-build/osmo-bts-latest:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmo-bts-latest' + docker_image_exists osmo-bts-latest + docker images -q osmocom-build/osmo-bts-latest + test -n 4eede071868f + list_osmo_packages debian-bookworm osmo-bts-latest + local distro=debian-bookworm + local image=osmo-bts-latest + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bts-latest -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bts-latest ### ii libosmoabis13:amd64 1.5.2 amd64 GSM A-bis handling ii libosmocodec4:amd64 1.9.2 amd64 Osmo codec library ii libosmocoding0:amd64 1.9.2 amd64 Osmo coding library ii libosmocore21:amd64 1.9.2 amd64 Osmo Core library ii libosmoctrl0:amd64 1.9.2 amd64 Osmo control library ii libosmogsm20:amd64 1.9.2 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.9.2 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.4.0 amd64 Common/shared code regarding network interface for OpenBSC ii libosmotrau2:amd64 1.5.2 amd64 GSM trau handling ii libosmovty13:amd64 1.9.2 amd64 Osmo VTY library ii osmo-bts-trx 1.7.2 amd64 osmo-bts-trx GSM BTS with osmo-trx ii osmo-bts-virtual 1.7.2 amd64 Virtual Osmocom GSM BTS (no RF hardware; GSMTAP/UDP) ii osmocom-latest 1.0.0 amd64 Dummy package, which conflicts with: osmocom-nightly osmocom-next + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmocom-bb-host-master + local feed + depends= + [ -n ] + docker_distro_from_image_name osmocom-bb-host-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmocom-bb-host-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmocom-bb-host-master + echo osmocom-bb-host-master + dir=osmocom-bb-host-master + pull_arg=--pull + grep ^FROM ../osmocom-bb-host-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmocom-bb-host-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmocom-bb-host-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmocom-bb-host-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmocom-bb-host-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmocom-bb-host-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.00kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build:latest #4 DONE 0.0s #5 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #5 DONE 0.1s #6 [ 4/10] RUN GIT clone https://gerrit.osmocom.org/osmocom-bb.git #6 CACHED #7 [ 3/10] WORKDIR /TMP #7 CACHED #8 [ 6/10] RUN CD osmocom-bb && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD #8 CACHED #9 [ 9/10] RUN MKDIR -p /data/unix #9 CACHED #10 [ 7/10] RUN CD osmocom-bb/src/host/trxcon && autoreconf -fi && ./configure && make "-j$(nproc)" install #10 CACHED #11 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #11 CACHED #12 [ 5/10] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMOCOM-BB/+/MASTER?FORMAT=TEXT /tmp/commit-osmocom-bb #12 CACHED #13 [ 8/10] RUN CD osmocom-bb/src/host/virt_phy && autoreconf -fi && ./configure && make "-j$(nproc)" install #13 CACHED #14 [10/10] WORKDIR /DATA #14 CACHED #15 exporting to image #15 exporting layers done #15 writing image sha256:081031f827e7b7f83ef7e3a13c15aeef28f00fb6840f7ea8c54548bba713eda8 0.0s done #15 naming to docker.io/osmocom-build/osmocom-bb-host-master:latest 0.0s done #15 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/osmocom-bb-host-master' + docker_image_exists osmocom-bb-host-master + docker images -q osmocom-build/osmocom-bb-host-master + test -n 081031f827e7 + list_osmo_packages debian-bookworm osmocom-bb-host-master + local distro=debian-bookworm + local image=osmocom-bb-host-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmocom-bb-host-master -c + [ -n ] + return + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends ttcn3-bts-test + local feed + echo debian-bookworm-titan + depends=debian-bookworm-titan + [ -n debian-bookworm-titan ] + docker_images_require debian-bookworm-titan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker pull registry.osmocom.org/osmocom-build/debian-bookworm-titan Using default tag: latest latest: Pulling from osmocom-build/debian-bookworm-titan Digest: sha256:61967f5a655dffcdab7f47cbfe0d727e2859396c373a6ae427af27a12a1dd6f3 Status: Image is up to date for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest + continue + docker_distro_from_image_name ttcn3-bts-test + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name ttcn3-bts-test + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name ttcn3-bts-test + echo ttcn3-bts-test + dir=ttcn3-bts-test + pull_arg=--pull + grep ^FROM ../ttcn3-bts-test/Dockerfile + from_line=FROM $REGISTRY/$USER/debian-bookworm-titan + echo FROM $REGISTRY/$USER/debian-bookworm-titan + grep -q $USER + pull_arg= + set +x Building image: ttcn3-bts-test (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../ttcn3-bts-test BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/ttcn3-bts-test OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/ttcn3-bts-test' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/ttcn3-bts-test:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 393B done #1 DONE 0.0s #2 [internal] load metadata for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/4] FROM registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 876B done #5 DONE 0.0s #6 https://gerrit.osmocom.org/plugins/gitiles/osmo-ttcn3-hacks/+/master?format=TEXT #6 DONE 0.1s #7 [2/4] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-TTCN3-HACKS/+/MASTER?FORMAT=TEXT /tmp/commit #7 CACHED #8 [3/4] RUN TTCN3-DOCKER-PREPARE "master" bts #8 CACHED #9 [4/4] COPY GENERIC/BTS_TESTS.CFG /data/BTS_Tests.cfg #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:633357098248c085a2f76a66228098507459c353e65937652b4e4fef086e2d6e 0.0s done #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest 0.0s done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/ttcn3-bts-test' + docker_image_exists ttcn3-bts-test + docker images -q osmocom-build/ttcn3-bts-test + test -n 633357098248 + list_osmo_packages debian-bookworm ttcn3-bts-test + local distro=debian-bookworm + local image=ttcn3-bts-test + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/ttcn3-bts-test -c + [ -n ] + return + set_clean_up_trap + trap clean_up_common EXIT INT TERM 0 + set -e + network_create + SUBNET=548064 + seq 1 30 + echo (548064 + 1) % 256 + bc + SUBNET=225 + NET_NAME=ttcn3-bts-test-225 + SUB4=172.18.225.0/24 + SUB6=fd02:db8:225::/64 + set +x Creating network ttcn3-bts-test-225, trying SUBNET=225... + docker network create --internal --subnet 172.18.225.0/24 --ipv6 --subnet fd02:db8:225::/64 ttcn3-bts-test-225 6fb390f625eaa49341e70af52dfd5d68314c292088340efeb9b2765fc6413995 + set +x ### Network ttcn3-bts-test-225 created (SUBNET=225) ### + return + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic + cp generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg ] + repo=latest + line=Misc_Helpers.mp_osmo_repo := "latest" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "latest"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + image_suffix_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy + cp virtphy/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg ] + repo=latest + line=Misc_Helpers.mp_osmo_repo := "latest" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "latest"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg + image_suffix_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml + cp oml/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg ] + repo=latest + line=Misc_Helpers.mp_osmo_repo := "latest" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "latest"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg + image_suffix_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping + cp fh/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg ] + repo=latest + line=Misc_Helpers.mp_osmo_repo := "latest" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "latest"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg + cp /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg.inc + cp Makefile /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile + network_replace_subnet_in_configs + set +x Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + make -f /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile cfg command -v osmo-config-merge /usr/bin/osmo-config-merge docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bts.cfg /data/osmo-bts.src.cfg docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bsc.cfg /data/osmo-bsc.src.cfg osmo-config-merge osmo-bts.src.cfg generic/osmo-bts.confmerge > generic/osmo-bts.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.225.10/" generic/osmo-bts.gen.cfg osmo-config-merge osmo-bsc.src.cfg generic/osmo-bsc.confmerge > generic/osmo-bsc.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.225.10/" generic/osmo-bsc.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg virtphy/osmo-bts.confmerge > virtphy/osmo-bts.gen.cfg sed -i "/osmotrx/d" virtphy/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg oml/osmo-bts.confmerge > oml/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bsc.gen.cfg fh/osmo-bsc.confmerge > fh/osmo-bsc.gen.cfg + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc + cp generic/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/unix + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/fake_trx + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/trxcon + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/virtphy + start_config_generic + test_config_enabled generic + local config=generic + local i + local valid=0 + [ generic = generic ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ generic = generic ] + return 0 + network_replace_subnet_in_configs + set +x Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/osmo-bts.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 225 11 + NET=225 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-225 --ip 172.18.225.11 --ip6 fd02:db8:225::11 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.11 --ip6 fd02:db8:225::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc:/data --name jenkins-ttcn3-bts-test-latest-2067-bsc -d osmocom-build/osmo-bsc-latest /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 fd0fcb67ecb677181ea15cf443d6c50765e9676bb5c2b20b6669a8945469b673 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 225 20 + NET=225 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-latest-2067-bts -d osmocom-build/osmo-bts-latest /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 ebb39c21d1668827cfc4bf805f86abcee91d25e2649c89e59cc93f32ec7c63b5 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 225 21 + NET=225 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-latest-2067-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.225.20 -r 172.18.225.22 --trx TRX1@172.18.225.20:5700/1 --trx TRX2@172.18.225.20:5700/2 --trx TRX3@172.18.225.20:5700/3 >>/data/fake_trx.out 2>&1 e3ec4017b76f4b81fad27ecec9b1f77d5d7fb35333bb39c95d67ae2db351e27f + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 225 22 + NET=225 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.225.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 a9a58c6e84de425ac7b227df5a019d238c8a3c8f725cc18f80f733ddb8c1c2cb + start_testsuite generic + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=generic + docker_network_params 225 10 + NET=225 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.225.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@f68e660fac4f: Unix server socket created successfully. MC@f68e660fac4f: Listening on TCP port 44767. MC2> f68e660fac4f is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests f68e660fac4f 44767 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@f68e660fac4f: New HC connected from 172.18.225.10 [172.18.225.10]. f68e660fac4f: Linux 5.10.0-19-amd64 on x86_64. cmtc MC@f68e660fac4f: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@f68e660fac4f: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@f68e660fac4f: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@f68e660fac4f: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@f68e660fac4f: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@f68e660fac4f: Configuration file was processed on all HCs. MC@f68e660fac4f: Creating MTC on host 172.18.225.10. MC@f68e660fac4f: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Fri May 24 08:22:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL(4)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL(4)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_est_dchan-RSL(4)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(6)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(7)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(8)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(9)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(10)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(11)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(12)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(13)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(14)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(15)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(16)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(17)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(18)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(19)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(20)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(21)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(22)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 45, t2 := 24 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(23)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 1, t3 := 4, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(24)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111111'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@f68e660fac4f: Received IMM.ASS for our RACH! TC_est_dchan(25)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@f68e660fac4f: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Fri May 24 08:22:28 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13785411) Waiting for packet dumper to finish... 1 (prev_count=13785411, count=13790999) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Fri May 24 08:22:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL(27)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@f68e660fac4f: Test case TC_chan_act_stress finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Fri May 24 08:22:35 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8985970) Waiting for packet dumper to finish... 1 (prev_count=8985970, count=8986469) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Fri May 24 08:22:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_react-RSL(31)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL(31)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_react-RSL(31)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL(31)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_react(33)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@f68e660fac4f: Test case TC_chan_act_react finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Fri May 24 08:22:41 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1838717) Waiting for packet dumper to finish... 1 (prev_count=1838717, count=1839400) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Fri May 24 08:22:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL(35)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@f68e660fac4f: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@f68e660fac4f: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Fri May 24 08:22:46 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1823057) Waiting for packet dumper to finish... 1 (prev_count=1823057, count=1823556) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Fri May 24 08:22:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(51)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(52)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@f68e660fac4f: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Fri May 24 08:22:52 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1914903) Waiting for packet dumper to finish... 1 (prev_count=1914903, count=1915402) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Fri May 24 08:22:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL(55)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL(55)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL(55)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL(55)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL(55)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(57)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(58)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(59)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(60)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(61)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_deact_sacch(62)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(62)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_deact_sacch(63)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(63)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(64)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(65)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(66)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_deact_sacch(67)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(67)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_deact_sacch(68)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(68)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_deact_sacch(69)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(69)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_deact_sacch(70)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(70)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_deact_sacch(71)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(71)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_deact_sacch(72)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(72)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_deact_sacch(73)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(73)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_deact_sacch(74)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(74)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_deact_sacch(75)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(75)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_deact_sacch(76)@f68e660fac4f: setverdict(pass): none -> pass TC_deact_sacch(76)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@f68e660fac4f: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_deact_sacch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Fri May 24 08:24:27 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=87891332) Waiting for packet dumper to finish... 1 (prev_count=87891332, count=87891923) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Fri May 24 08:24:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL(78)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL(78)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(80)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(81)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(82)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(83)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(84)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_filling(85)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(85)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_filling(86)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(86)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(87)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(88)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(89)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_filling(90)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(90)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_filling(91)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(91)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_filling(92)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(92)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_filling(93)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(93)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_filling(94)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(94)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_filling(95)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(95)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_filling(96)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(96)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_filling(97)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(97)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_filling(98)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(98)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_filling(99)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_filling(99)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@f68e660fac4f: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_filling finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Fri May 24 08:24:47 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12047930) Waiting for packet dumper to finish... 1 (prev_count=12047930, count=12048429) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Fri May 24 08:24:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL(101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_info_mod(108)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_info_mod(109)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(113)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_info_mod(114)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_info_mod(115)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_info_mod(116)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_info_mod(117)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_info_mod(118)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_info_mod(119)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_info_mod(120)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_info_mod(121)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_info_mod(122)@f68e660fac4f: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@f68e660fac4f: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_info_mod finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Fri May 24 08:25:21 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30045017) Waiting for packet dumper to finish... 1 (prev_count=30045017, count=30045516) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Fri May 24 08:25:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL(124)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL(124)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@f68e660fac4f: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_multi finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Fri May 24 08:26:52 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=84532741) Waiting for packet dumper to finish... 1 (prev_count=84532741, count=84533240) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Fri May 24 08:26:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@f68e660fac4f: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Fri May 24 08:28:32 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=90001141) Waiting for packet dumper to finish... 1 (prev_count=90001141, count=90001640) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Fri May 24 08:28:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL(170)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL(170)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(172)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(173)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(174)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(175)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(176)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_chan_act(177)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(177)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_chan_act(178)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(178)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(179)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(180)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(181)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_chan_act(182)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(182)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act(183)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(183)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_chan_act(184)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(184)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_chan_act(185)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(185)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_chan_act(186)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(186)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_chan_act(187)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(187)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act(188)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(188)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_chan_act(189)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(189)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_chan_act(190)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(190)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_chan_act(191)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act(191)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@f68e660fac4f: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_chan_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Fri May 24 08:29:07 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=26301454) Waiting for packet dumper to finish... 1 (prev_count=26301454, count=26301953) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Fri May 24 08:29:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@f68e660fac4f: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Fri May 24 08:29:41 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30869135) Waiting for packet dumper to finish... 1 (prev_count=30869135, count=30869634) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Fri May 24 08:29:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: Timeout waiting for SACCH 'FF698ACB6CD3BF13674E11CE341389A438C394'O TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@f68e660fac4f: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Fri May 24 08:30:34 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=48856647) Waiting for packet dumper to finish... 1 (prev_count=48856647, count=48861798) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Fri May 24 08:30:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL(207)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL-IPA(206)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_content-RSL(207)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL(207)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_content-RSL-IPA(206)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_content-RSL(207)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@f68e660fac4f: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_content finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Fri May 24 08:30:53 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12349878) Waiting for packet dumper to finish... 1 (prev_count=12349878, count=12350377) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Fri May 24 08:30:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL(210)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL(210)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL(210)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@f68e660fac4f: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1744 IPA-CTRL-CLI-IPA(211)@f68e660fac4f: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@f68e660fac4f: Final verdict of PTC: none TC_rach_content_emerg-RSL(210)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_content_emerg finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Fri May 24 08:31:07 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11397826) Waiting for packet dumper to finish... 1 (prev_count=11397826, count=11398325) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Fri May 24 08:31:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL(213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@f68e660fac4f: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_count finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Fri May 24 08:31:26 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14497904) Waiting for packet dumper to finish... 1 (prev_count=14497904, count=14498403) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Fri May 24 08:31:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL(216)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@f68e660fac4f: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_max_ta finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Fri May 24 08:31:41 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10276376) Waiting for packet dumper to finish... 1 (prev_count=10276376, count=10276875) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Fri May 24 08:31:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL(219)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ho_rach-RSL(219)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(221)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(222)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(223)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(224)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(225)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(226)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(226)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_ho_rach(227)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(227)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(228)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(229)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(230)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_ho_rach(231)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(231)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(231)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ho_rach(232)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(232)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_ho_rach(233)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(233)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(234)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(234)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_ho_rach(235)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(235)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_ho_rach(236)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(236)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ho_rach(237)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(237)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_ho_rach(238)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(238)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_ho_rach(239)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(239)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_ho_rach(240)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_rach(240)@f68e660fac4f: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@f68e660fac4f: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_ho_rach finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Fri May 24 08:31:48 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3855761) Waiting for packet dumper to finish... 1 (prev_count=3855761, count=3861349) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Fri May 24 08:31:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL(242)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL(242)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL(242)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL(242)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@f68e660fac4f: setverdict(pass): none -> pass TC_ho_physical_info(244)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@f68e660fac4f: Sending handover Access Burst TC_ho_physical_info(244)@f68e660fac4f: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@f68e660fac4f: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info(244)@f68e660fac4f: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info-RSL(242)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@f68e660fac4f: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@f68e660fac4f: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Fri May 24 08:31:56 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4127707) Waiting for packet dumper to finish... 1 (prev_count=4127707, count=4128206) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Fri May 24 08:31:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@f68e660fac4f: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Fri May 24 08:32:12 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5301898) Waiting for packet dumper to finish... 1 (prev_count=5301898, count=5302397) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Fri May 24 08:32:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@f68e660fac4f: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Fri May 24 08:32:23 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4096588) Waiting for packet dumper to finish... 1 (prev_count=4096588, count=4097087) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Fri May 24 08:32:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rach_load_count-RSL(252)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rach_load_count-RSL(252)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@f68e660fac4f: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@f68e660fac4f: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rach_load_count finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Fri May 24 08:32:48 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17149928) Waiting for packet dumper to finish... 1 (prev_count=17149928, count=17150427) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Fri May 24 08:32:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Fri May 24 08:33:02 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11129734) Waiting for packet dumper to finish... 1 (prev_count=11129734, count=11130233) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Fri May 24 08:33:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Fri May 24 08:33:15 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11139771) Waiting for packet dumper to finish... 1 (prev_count=11139771, count=11140270) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Fri May 24 08:33:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_speech_tchh(266)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh(266)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh-RSL(263)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh(265): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh(266): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass'. Fri May 24 08:33:37 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19586392) Waiting for packet dumper to finish... 1 (prev_count=19586392, count=19586891) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Fri May 24 08:33:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh_facch-RSL(268)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(267)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(269)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(267): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(268): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(269): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_facch(270): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_facch(271): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_facch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass'. Fri May 24 08:33:59 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_facch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19619072) Waiting for packet dumper to finish... 1 (prev_count=19619072, count=19624660) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Fri May 24 08:34:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3680 TC_meas_res_speech_tchh_toa256-RSL(273)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(274)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(272): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(273): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(274): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_toa256(275): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_toa256(276): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass'. Fri May 24 08:34:20 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_toa256 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19587715) Waiting for packet dumper to finish... 1 (prev_count=19587715, count=19588214) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Fri May 24 08:34:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_tchf(281)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_tchf(282)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_tchf(283)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3694 TC_meas_res_sign_tchf-RSL(278)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(279)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(277)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(277): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf-RSL(278): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(279): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf(280): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf(281): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf(282): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchf(283): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_sign_tchf finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass'. Fri May 24 08:34:58 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=37646782) Waiting for packet dumper to finish... 1 (prev_count=37646782, count=37647281) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Fri May 24 08:35:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_tchh(288)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3707 TC_meas_res_sign_tchh-RSL(285)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(284)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(286)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(284): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh-RSL(285): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(286): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh(287): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh(288): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_sign_tchh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass'. Fri May 24 08:35:20 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18124802) Waiting for packet dumper to finish... 1 (prev_count=18124802, count=18125301) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Fri May 24 08:35:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(290)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(289)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(289): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(290): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4(292): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4(293): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4(294): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch4(295): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Fri May 24 08:35:57 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31001678) Waiting for packet dumper to finish... 1 (prev_count=31001678, count=31002177) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Fri May 24 08:36:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3733 TC_meas_res_sign_sdcch8-RSL(297)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(298)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(296)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(296): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(297): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(298): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(299): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(300): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(301): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(302): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(303): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(304): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(305): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_sdcch8(306): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_sign_sdcch8 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass'. Fri May 24 08:37:07 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch8 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60216690) Waiting for packet dumper to finish... 1 (prev_count=60216690, count=60217189) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Fri May 24 08:37:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@f68e660fac4f: Final verdict of PTC: pass TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3747 TC_meas_res_sign_tchh_toa256-RSL(308)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(309)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(307): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(308): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(309): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh_toa256(310): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_sign_tchh_toa256(311): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass'. Fri May 24 08:37:34 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh_toa256 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19078386) Waiting for packet dumper to finish... 1 (prev_count=19078386, count=19083537) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Fri May 24 08:37:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(313)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(314)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(312): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(313): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(314): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(315): pass (none -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Fri May 24 08:37:47 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11132423) Waiting for packet dumper to finish... 1 (prev_count=11132423, count=11132922) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Fri May 24 08:37:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(317)@f68e660fac4f: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(318)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(316): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(317): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(318): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(319): pass (none -> pass) MTC@f68e660fac4f: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Fri May 24 08:38:01 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10704111) Waiting for packet dumper to finish... 1 (prev_count=10704111, count=10704610) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Fri May 24 08:38:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=22 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(323)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(321)@f68e660fac4f: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(322)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(320): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(321): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(322): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(323): pass (none -> pass) MTC@f68e660fac4f: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Fri May 24 08:38:13 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7620553) Waiting for packet dumper to finish... 1 (prev_count=7620553, count=7625704) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Fri May 24 08:38:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=0 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=8 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=8 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=8 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=8 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=16 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=16 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=16 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=16 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=22 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=24 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=24 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=24 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=24 TC_tx_power_down_bcch(327)@f68e660fac4f: Received rx_level=30 TC_tx_power_down_bcch(327)@f68e660fac4f: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: IPA: Closed TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@f68e660fac4f: Final verdict of PTC: none TC_tx_power_down_bcch(327)@f68e660fac4f: setverdict(pass): none -> pass TC_tx_power_down_bcch(327)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(325)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(326)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(324): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_down_bcch-RSL(325): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(326): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_down_bcch(327): pass (none -> pass) MTC@f68e660fac4f: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Fri May 24 08:38:28 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6843439) Waiting for packet dumper to finish... 1 (prev_count=6843439, count=6849027) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Fri May 24 08:38:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@f68e660fac4f: Test Component 331 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(329)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(330)@f68e660fac4f: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@f68e660fac4f: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(331)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(328): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(329): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(330): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tx_power_ramp_adm_state_change(331): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@f68e660fac4f: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Fri May 24 08:38:54 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17916002) Waiting for packet dumper to finish... 1 (prev_count=17916002, count=17916501) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Fri May 24 08:38:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: SACCH received: '00000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(333)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(334)@f68e660fac4f: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(332): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(333): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(334): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_ass(335): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Fri May 24 08:39:00 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2409776) Waiting for packet dumper to finish... 1 (prev_count=2409776, count=2410275) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Fri May 24 08:39:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(337)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(338)@f68e660fac4f: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(336): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(337): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(338): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(339): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Fri May 24 08:39:07 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2407020) Waiting for packet dumper to finish... 1 (prev_count=2407020, count=2407519) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Fri May 24 08:39:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@f68e660fac4f: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@f68e660fac4f: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@f68e660fac4f: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(341)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(342)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(340): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(341): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(342): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl(343): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl(344): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl(345): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_ctrl(346): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Fri May 24 08:40:14 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=70476479) Waiting for packet dumper to finish... 1 (prev_count=70476479, count=70481630) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Fri May 24 08:40:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(348)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(349)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(347): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(348): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(349): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(350): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Fri May 24 08:40:35 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14385108) Waiting for packet dumper to finish... 1 (prev_count=14385108, count=14385607) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Fri May 24 08:40:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(352)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(353)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(352): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(353): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(354): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Fri May 24 08:40:51 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13436201) Waiting for packet dumper to finish... 1 (prev_count=13436201, count=13436700) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Fri May 24 08:40:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(358)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(356)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(357)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(355): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(356): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(357): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(358): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Fri May 24 08:41:16 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=23683164) Waiting for packet dumper to finish... 1 (prev_count=23683164, count=23683663) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Fri May 24 08:41:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(362)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(360)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(361)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(359): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(360): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(361): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(362): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Fri May 24 08:41:32 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13419918) Waiting for packet dumper to finish... 1 (prev_count=13419918, count=13420417) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Fri May 24 08:41:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(365)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(364): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(365): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(366): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Fri May 24 08:41:44 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9250087) Waiting for packet dumper to finish... 1 (prev_count=9250087, count=9250586) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Fri May 24 08:41:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(370)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(368)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(369)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(367): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(368): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(369): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(370): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Fri May 24 08:42:00 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13014803) Waiting for packet dumper to finish... 1 (prev_count=13014803, count=13015302) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Fri May 24 08:42:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(374)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(374)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(374)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_chan_initial_ms_pwr(375)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(375)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(375)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(376)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(376)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(376)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_chan_initial_ms_pwr(377)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(377)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(377)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(372)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(373)@f68e660fac4f: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(371): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(372): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(373): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(374): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(375): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(376): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(377): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Fri May 24 08:42:07 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3565920) Waiting for packet dumper to finish... 1 (prev_count=3565920, count=3566419) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Fri May 24 08:42:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(381)@f68e660fac4f: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(381)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(379)@f68e660fac4f: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(378)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(378): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(379): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_chan_initial_ta(381): pass (none -> pass) MTC@f68e660fac4f: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Fri May 24 08:42:13 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2283102) Waiting for packet dumper to finish... 1 (prev_count=2283102, count=2288253) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Fri May 24 08:42:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(385)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_modify_encr(385)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(385)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_rsl_modify_encr(385)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_modify_encr(386)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_modify_encr(386)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_rsl_modify_encr(386)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(387)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_modify_encr(387)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_rsl_modify_encr(387)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_modify_encr(388)@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_modify_encr(388)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_rsl_modify_encr(388)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(383)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@f68e660fac4f: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(382)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(382): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr-RSL(383): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr(385): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr(386): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr(387): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_modify_encr(388): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Fri May 24 08:42:32 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14179203) Waiting for packet dumper to finish... 1 (prev_count=14179203, count=14179702) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Fri May 24 08:42:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(390)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(391)@f68e660fac4f: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(389)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(389): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(390): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(391): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Fri May 24 08:42:51 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13904066) Waiting for packet dumper to finish... 1 (prev_count=13904066, count=13904565) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Fri May 24 08:42:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL(393)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL(393)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL(393)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(393)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(393)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit(395)@f68e660fac4f: setverdict(pass): none -> pass TC_conn_fail_crit(395)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@f68e660fac4f: Final verdict of PTC: pass TC_conn_fail_crit-RSL(393)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(394)@f68e660fac4f: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(392)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(392): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_conn_fail_crit-RSL(393): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(394): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_conn_fail_crit(395): pass (none -> pass) MTC@f68e660fac4f: Test case TC_conn_fail_crit finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Fri May 24 08:43:13 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16939433) Waiting for packet dumper to finish... 1 (prev_count=16939433, count=16944584) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Fri May 24 08:43:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(397)@f68e660fac4f: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(396)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(398)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(396): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_80percent-RSL(397): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@f68e660fac4f: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Fri May 24 08:43:40 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20967459) Waiting for packet dumper to finish... 1 (prev_count=20967459, count=20967958) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Fri May 24 08:43:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(400)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@f68e660fac4f: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(399)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(399): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_paging_tmsi_80percent-RSL(400): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@f68e660fac4f: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Fri May 24 08:44:07 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21326692) Waiting for packet dumper to finish... 1 (prev_count=21326692, count=21327191) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Fri May 24 08:44:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL-IPA(402)@f68e660fac4f: Final verdict of PTC: none TC_paging_imsi_200percent-RSL(403)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(402): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_200percent-RSL(403): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (pass -> pass) MTC@f68e660fac4f: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Fri May 24 08:44:46 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32386439) Waiting for packet dumper to finish... 1 (prev_count=32386439, count=32386938) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Fri May 24 08:44:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=875 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(406)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@f68e660fac4f: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(405)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(405): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_paging_tmsi_200percent-RSL(406): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@f68e660fac4f: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Fri May 24 08:45:19 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29045753) Waiting for packet dumper to finish... 1 (prev_count=29045753, count=29046252) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Fri May 24 08:45:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(409)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@f68e660fac4f: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(408)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(408): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_protocol_error-RSL(409): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Fri May 24 08:45:25 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1818028) Waiting for packet dumper to finish... 1 (prev_count=1818028, count=1818527) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Fri May 24 08:45:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(412)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@f68e660fac4f: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(411)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(411): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_mand_ie_error-RSL(412): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Fri May 24 08:45:30 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1815560) Waiting for packet dumper to finish... 1 (prev_count=1815560, count=1816059) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Fri May 24 08:45:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(415)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@f68e660fac4f: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(414)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(414): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rsl_ie_content_error-RSL(415): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@f68e660fac4f: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Fri May 24 08:45:36 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1820324) Waiting for packet dumper to finish... 1 (prev_count=1820324, count=1820823) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Fri May 24 08:45:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL(418)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL(418)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_default-RSL(418)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(418)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: "TC_si_sched_default": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_default": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_default": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_default": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_default": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_default": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_default": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_default": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(418)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(417)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_default-RSL-IPA(417): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_default-RSL(418): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_default finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Fri May 24 08:45:50 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8816907) Waiting for packet dumper to finish... 1 (prev_count=8816907, count=8817406) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Fri May 24 08:45:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_1-RSL(421)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(421)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_si_sched_1-RSL(421)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL(421)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: "TC_si_sched_1": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_1": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_1": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_1": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_1": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_1": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_1": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_1": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(421)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(420)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_1-RSL-IPA(420): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_1-RSL(421): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_1 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Fri May 24 08:46:03 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8825828) Waiting for packet dumper to finish... 1 (prev_count=8825828, count=8830979) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Fri May 24 08:46:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL(424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL(424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL(424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(424)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(423)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(423): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2bis-RSL(424): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_2bis finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Fri May 24 08:46:17 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8830716) Waiting for packet dumper to finish... 1 (prev_count=8830716, count=8831215) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Fri May 24 08:46:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL(427)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL(427)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL(427)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL(427)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(427)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(426)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(428)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(426): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2ter-RSL(427): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(428): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_2ter finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Fri May 24 08:46:30 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8824205) Waiting for packet dumper to finish... 1 (prev_count=8824205, count=8824704) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Fri May 24 08:46:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(430)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(431)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(429)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(429): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(430): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(431): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Fri May 24 08:46:44 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8824509) Waiting for packet dumper to finish... 1 (prev_count=8824509, count=8829660) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Fri May 24 08:46:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL(433)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL(433)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(433)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(432)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(432): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_2quater-RSL(433): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_2quater finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Fri May 24 08:47:06 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15774631) Waiting for packet dumper to finish... 1 (prev_count=15774631, count=15775130) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Fri May 24 08:47:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_13-RSL(436)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL(436)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: "TC_si_sched_13": TC=0 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_13": TC=1 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_13": TC=2 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_13": TC=3 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_13": TC=4 has #of SI=5 MTC@f68e660fac4f: "TC_si_sched_13": TC=5 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_13": TC=6 has #of SI=4 MTC@f68e660fac4f: "TC_si_sched_13": TC=7 has #of SI=4 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: not-bccch-extended MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4993 IPA-CTRL-CLI-IPA(437)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_13-RSL(436)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(435)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_13-RSL-IPA(435): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_13-RSL(436): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_13 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Fri May 24 08:47:19 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8835708) Waiting for packet dumper to finish... 1 (prev_count=8835708, count=8836207) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Fri May 24 08:47:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@f68e660fac4f: Protocol discriminator is not RR (!= '0110'B): 0 MTC@f68e660fac4f: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@f68e660fac4f: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@f68e660fac4f: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@f68e660fac4f: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@f68e660fac4f: not-bccch-extended MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(439)@f68e660fac4f: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(440)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(439): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(440): none (pass -> pass) MTC@f68e660fac4f: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Fri May 24 08:47:41 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15799177) Waiting for packet dumper to finish... 1 (prev_count=15799177, count=15799676) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Fri May 24 08:47:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(444)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(444)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(442)@f68e660fac4f: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(441)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(443)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(441): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(442): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(443): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_dlcx_not_active(444): pass (none -> pass) MTC@f68e660fac4f: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Fri May 24 08:47:46 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1824548) Waiting for packet dumper to finish... 1 (prev_count=1824548, count=1825047) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Fri May 24 08:47:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(448)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(448)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(448)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(446)@f68e660fac4f: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(445)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(447)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(445): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(446): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(447): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_twice_not_active(448): pass (none -> pass) MTC@f68e660fac4f: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Fri May 24 08:47:52 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1827009) Waiting for packet dumper to finish... 1 (prev_count=1827009, count=1827508) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Fri May 24 08:47:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(452)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(452)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@f68e660fac4f: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(451)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(451): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(452): pass (none -> pass) MTC@f68e660fac4f: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Fri May 24 08:47:57 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1825382) Waiting for packet dumper to finish... 1 (prev_count=1825382, count=1825881) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Fri May 24 08:48:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@f68e660fac4f: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456): pass (none -> pass) MTC@f68e660fac4f: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Fri May 24 08:48:03 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1830073) Waiting for packet dumper to finish... 1 (prev_count=1830073, count=1830572) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Fri May 24 08:48:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(460)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(460)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active(461)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(461)@f68e660fac4f: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(458)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(459)@f68e660fac4f: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(457): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(458): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(459): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(460): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(461): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Fri May 24 08:48:09 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1825703) Waiting for packet dumper to finish... 1 (prev_count=1825703, count=1831291) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Fri May 24 08:48:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(465)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(465)@f68e660fac4f: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(465)@f68e660fac4f: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@f68e660fac4f: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(466)@f68e660fac4f: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@f68e660fac4f: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(463)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@f68e660fac4f: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(462)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(462): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(463): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_ack_addr(465): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@f68e660fac4f: Local verdict of PTC TC_ipa_crcx_ack_addr(466): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@f68e660fac4f: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Fri May 24 08:48:14 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1840339) Waiting for packet dumper to finish... 1 (prev_count=1840339, count=1840838) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Fri May 24 08:48:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: Rx LOAD_IND MTC@f68e660fac4f: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(468)@f68e660fac4f: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(467): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(468): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@f68e660fac4f: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Fri May 24 08:48:53 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32260845) Waiting for packet dumper to finish... 1 (prev_count=32260845, count=32265996) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Fri May 24 08:48:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL(471)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL(471)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL(471)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL(471)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL(471)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_act_req-RSL(471)@f68e660fac4f: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(470)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req-RSL-IPA(470): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req-RSL(471): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_act_req finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Fri May 24 08:49:02 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3215957) Waiting for packet dumper to finish... 1 (prev_count=3215957, count=3216456) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Fri May 24 08:49:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(474)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@f68e660fac4f: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(473)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(473): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(474): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Fri May 24 08:49:13 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4571121) Waiting for packet dumper to finish... 1 (prev_count=4571121, count=4576272) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Fri May 24 08:49:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(477)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@f68e660fac4f: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(476)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(476): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(477): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Fri May 24 08:49:25 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4575951) Waiting for packet dumper to finish... 1 (prev_count=4575951, count=4576450) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Fri May 24 08:49:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: Established a new IPA connection (conn_id=4) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(480)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@f68e660fac4f: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(479)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(479): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(480): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Fri May 24 08:49:37 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4572575) Waiting for packet dumper to finish... 1 (prev_count=4572575, count=4573074) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Fri May 24 08:49:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL(483)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL(483)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL(483)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(483)@f68e660fac4f: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(482)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(482): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_deact_req-RSL(483): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_deact_req finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Fri May 24 08:49:50 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5522548) Waiting for packet dumper to finish... 1 (prev_count=5522548, count=5523047) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Fri May 24 08:49:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(486)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@f68e660fac4f: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(485): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(486): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Fri May 24 08:50:00 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3653455) Waiting for packet dumper to finish... 1 (prev_count=3653455, count=3653954) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Fri May 24 08:50:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL(489)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL(489)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(489)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@f68e660fac4f: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(488)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(488): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si1-RSL(489): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Fri May 24 08:50:05 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1833345) Waiting for packet dumper to finish... 1 (prev_count=1833345, count=1833844) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Fri May 24 08:50:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL(492)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL(492)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL(492)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(492)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@f68e660fac4f: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(491)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(491): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si3-RSL(492): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Fri May 24 08:50:11 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1836672) Waiting for packet dumper to finish... 1 (prev_count=1836672, count=1837171) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Fri May 24 08:50:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(495)@f68e660fac4f: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(494)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(494): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ver_si13-RSL(495): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Fri May 24 08:50:16 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1834365) Waiting for packet dumper to finish... 1 (prev_count=1834365, count=1834864) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Fri May 24 08:50:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(498)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(497)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(497): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(498): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Fri May 24 08:50:25 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4554587) Waiting for packet dumper to finish... 1 (prev_count=4554587, count=4555214) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Fri May 24 08:50:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(501)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(500)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(500): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(501): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Fri May 24 08:50:34 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5178767) Waiting for packet dumper to finish... 1 (prev_count=5178767, count=5179266) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Fri May 24 08:50:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(504)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(503)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(505)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(503): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(504): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Fri May 24 08:50:48 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9512196) Waiting for packet dumper to finish... 1 (prev_count=9512196, count=9512695) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Fri May 24 08:50:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(507)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(506)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(508)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(506): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(507): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Fri May 24 08:51:01 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9511785) Waiting for packet dumper to finish... 1 (prev_count=9511785, count=9512284) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Fri May 24 08:51:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(510)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(511)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(509)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(509): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(510): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Fri May 24 08:51:15 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9524583) Waiting for packet dumper to finish... 1 (prev_count=9524583, count=9525082) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Fri May 24 08:51:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(513)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(512)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(512): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(513): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Fri May 24 08:51:26 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6222970) Waiting for packet dumper to finish... 1 (prev_count=6222970, count=6223469) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Fri May 24 08:51:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 125, qta := 0, fn := 792, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 818, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 122, qta := 0, fn := 844, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 870, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 896, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 922, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 948, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 974, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 125, qta := 0, fn := 1000, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 113, qta := 0, fn := 1026, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 1052, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 122, qta := 0, fn := 1078, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 1104, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 113, qta := 0, fn := 1130, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 115, qta := 0, fn := 1156, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending an Access Burst towards the L1CTL interface MTC@f68e660fac4f: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 126, qta := 0, fn := 1182, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Sending a PTCCH/D block towards the PCU interface: '3CBD4192FFA88B1C6F4BE58528CB598555D90A521E5300'O MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_ptcch-RSL(516)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@f68e660fac4f: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(515)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(515): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ptcch-RSL(516): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_ptcch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass'. Fri May 24 08:51:37 UTC 2024 ====== BTS_Tests.TC_pcu_ptcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7130423) Waiting for packet dumper to finish... 1 (prev_count=7130423, count=7130922) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Fri May 24 08:51:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(519)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(518)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(518): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_agch-RSL(519): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Fri May 24 08:51:46 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4533806) Waiting for packet dumper to finish... 1 (prev_count=4533806, count=4534305) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Fri May 24 08:51:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(522)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(521)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(523)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(521): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_pch-RSL(522): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Fri May 24 08:51:54 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4539014) Waiting for packet dumper to finish... 1 (prev_count=4539014, count=4542302) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Fri May 24 08:51:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: IMM.ASS was sent on PCH MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(525)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(524): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(525): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Fri May 24 08:52:00 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2058546) Waiting for packet dumper to finish... 1 (prev_count=2058546, count=2059045) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Fri May 24 08:52:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: IMM.ASS was sent on AGCH MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(528)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(527): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(528): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Fri May 24 08:52:06 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2017465) Waiting for packet dumper to finish... 1 (prev_count=2017465, count=2017964) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Fri May 24 08:52:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(531)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL(531)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL(531)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL(531)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL(531)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(531)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(531)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(532)@f68e660fac4f: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(530)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(530): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rach_content-RSL(531): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_rach_content finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Fri May 24 08:52:20 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10809858) Waiting for packet dumper to finish... 1 (prev_count=10809858, count=10810357) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Fri May 24 08:52:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(534)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(535)@f68e660fac4f: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(533)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(533): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_ext_rach_content-RSL(534): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(535): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Fri May 24 08:52:34 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10811959) Waiting for packet dumper to finish... 1 (prev_count=10811959, count=10812458) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Fri May 24 08:52:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=-256 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=-256) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=-128 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=-128) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=0 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=0) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=128 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=128) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=256 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=256) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=384 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=384) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=512 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=512) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=640 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=640) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=768 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=768) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=896 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=896) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=1024 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=1024) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=1152 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=1152) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Testing C/I=1280 cB MTC@f68e660fac4f: Rx PCUIF_DATA.ind (lqual_cb=1280) MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_ind_lqual_cb-RSL(537)@f68e660fac4f: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(538)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(536): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(537): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(538): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass'. Fri May 24 08:52:44 UTC 2024 ====== BTS_Tests.TC_pcu_data_ind_lqual_cb pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5029957) Waiting for packet dumper to finish... 1 (prev_count=5029957, count=5035236) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Fri May 24 08:52:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(540)@f68e660fac4f: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(539)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(541)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(539): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(540): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Fri May 24 08:52:49 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1969006) Waiting for packet dumper to finish... 1 (prev_count=1969006, count=1969505) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Fri May 24 08:52:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(543)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL(543)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(543)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: 1074 fn expired with 249 PCU_TIME.ind MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(543)@f68e660fac4f: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(542)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(542): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_time_ind-RSL(543): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_time_ind finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Fri May 24 08:53:03 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5678291) Waiting for packet dumper to finish... 1 (prev_count=5678291, count=5678790) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Fri May 24 08:53:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL(546)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL(546)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL(546)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL(546)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL(546)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL(546)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL(546)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: 1079 fn expired with num_rts_pdtch=250, num_rts_ptcch=10 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(546)@f68e660fac4f: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(545)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(545): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rts_req-RSL(546): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_rts_req finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Fri May 24 08:53:16 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5656917) Waiting for packet dumper to finish... 1 (prev_count=5656917, count=5657416) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Fri May 24 08:53:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(549)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(550)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(551)@f68e660fac4f: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@f68e660fac4f: Test Component 551 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(551)@f68e660fac4f: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(549)@f68e660fac4f: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(548)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(548): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_oml_alert-RSL(549): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(551): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@f68e660fac4f: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Fri May 24 08:53:25 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3225217) Waiting for packet dumper to finish... 1 (prev_count=3225217, count=3225716) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Fri May 24 08:53:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(555)@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_rr_suspend(555)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(555)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(555)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@f68e660fac4f: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(553)@f68e660fac4f: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(552)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(554)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(552): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rr_suspend-RSL(553): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(554): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_rr_suspend(555): pass (none -> pass) MTC@f68e660fac4f: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Fri May 24 08:53:31 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2232854) Waiting for packet dumper to finish... 1 (prev_count=2232854, count=2233353) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Fri May 24 08:53:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: BTS has accept()ed connection MTC@f68e660fac4f: BTS has close()d connection MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(557)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(556)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(558)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(556): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(557): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Fri May 24 08:53:36 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1826831) Waiting for packet dumper to finish... 1 (prev_count=1826831, count=1827330) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Fri May 24 08:53:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(560)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(559)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(559): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_reconnect-RSL(560): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Fri May 24 08:53:44 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2733368) Waiting for packet dumper to finish... 1 (prev_count=2733368, count=2738519) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Fri May 24 08:53:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(563): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Fri May 24 08:53:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4252497) Waiting for packet dumper to finish... 1 (prev_count=4252497, count=4252996) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Fri May 24 08:53:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(566): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Fri May 24 08:54:00 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3615197) Waiting for packet dumper to finish... 1 (prev_count=3615197, count=3615696) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Fri May 24 08:54:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(569)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(570)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(568): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(569): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(570): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Fri May 24 08:54:08 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4258355) Waiting for packet dumper to finish... 1 (prev_count=4258355, count=4263943) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Fri May 24 08:54:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(572)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(573)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(571): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(572): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(573): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Fri May 24 08:54:16 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3627447) Waiting for packet dumper to finish... 1 (prev_count=3627447, count=3627946) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Fri May 24 08:54:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(575): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Fri May 24 08:54:25 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5088574) Waiting for packet dumper to finish... 1 (prev_count=5088574, count=5089201) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Fri May 24 08:54:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@f68e660fac4f: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(578): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Fri May 24 08:54:35 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5289834) Waiting for packet dumper to finish... 1 (prev_count=5289834, count=5290333) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Fri May 24 08:54:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(581)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(580)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(582)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(580): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(581): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(582): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Fri May 24 08:54:42 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2729533) Waiting for packet dumper to finish... 1 (prev_count=2729533, count=2730032) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Fri May 24 08:54:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(586)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(586)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(584)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(585)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(583): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(584): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(585): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(586): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Fri May 24 08:54:51 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3340584) Waiting for packet dumper to finish... 1 (prev_count=3340584, count=3341083) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Fri May 24 08:54:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(590)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(590)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(588)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(589)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(587): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(588): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(589): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_double_act(590): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Fri May 24 08:54:57 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1855926) Waiting for packet dumper to finish... 1 (prev_count=1855926, count=1856425) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Fri May 24 08:55:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact(594)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(594)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(594)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(592)@f68e660fac4f: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(591): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(592): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(594): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Fri May 24 08:55:05 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3241839) Waiting for packet dumper to finish... 1 (prev_count=3241839, count=3242338) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Fri May 24 08:55:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack(598)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(598)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(597)@f68e660fac4f: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(597): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(598): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Fri May 24 08:55:11 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1863929) Waiting for packet dumper to finish... 1 (prev_count=1863929, count=1864428) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Fri May 24 08:55:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Checking timeslot #2 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #1 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #3 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #0 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #4 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Checking timeslot #6 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(600)@f68e660fac4f: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(599)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(601)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(599): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(600): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(601): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Fri May 24 08:55:16 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1831921) Waiting for packet dumper to finish... 1 (prev_count=1831921, count=1832420) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Fri May 24 08:55:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(604)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL(603)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(602): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(603): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(604): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Fri May 24 08:55:22 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1838763) Waiting for packet dumper to finish... 1 (prev_count=1838763, count=1839262) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Fri May 24 08:55:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(606)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(605): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(606): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Fri May 24 08:55:27 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1838279) Waiting for packet dumper to finish... 1 (prev_count=1838279, count=1838778) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Fri May 24 08:55:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(610)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL(609)@f68e660fac4f: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(608)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(608): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(609): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Fri May 24 08:55:33 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1848454) Waiting for packet dumper to finish... 1 (prev_count=1848454, count=1848953) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Fri May 24 08:55:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL(612)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Warning: Re-starting timer T, which is already active (running or expired). MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(612)@f68e660fac4f: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(611)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(613)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(611): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_pcu_interf_ind-RSL(612): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(613): none (pass -> pass) MTC@f68e660fac4f: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Fri May 24 08:55:44 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5765157) Waiting for packet dumper to finish... 1 (prev_count=5765157, count=5770308) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Fri May 24 08:55:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(617)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(617)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(615)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(616)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(615): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(616): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(617): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Fri May 24 08:55:49 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1850268) Waiting for packet dumper to finish... 1 (prev_count=1850268, count=1850767) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Fri May 24 08:55:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(621)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(621)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(621)@f68e660fac4f: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(620)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL(619)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(619): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(620): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(621): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Fri May 24 08:55:55 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1864931) Waiting for packet dumper to finish... 1 (prev_count=1864931, count=1865430) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Fri May 24 08:55:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(625)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(625)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(623)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(624)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(623): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(624): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(625): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Fri May 24 08:56:00 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1875432) Waiting for packet dumper to finish... 1 (prev_count=1875432, count=1875931) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Fri May 24 08:56:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(628)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(627): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(628): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(629): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Fri May 24 08:56:06 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1942001) Waiting for packet dumper to finish... 1 (prev_count=1942001, count=1942500) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Fri May 24 08:56:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(633)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(634)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(633)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(634)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(632)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(631): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(632): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(633): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(634): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Fri May 24 08:56:13 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2700377) Waiting for packet dumper to finish... 1 (prev_count=2700377, count=2700876) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Fri May 24 08:56:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(638)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(637)@f68e660fac4f: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(637): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(638): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(639): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(640): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(641): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(642): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(643): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(644): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(645): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Fri May 24 08:56:21 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2870023) Waiting for packet dumper to finish... 1 (prev_count=2870023, count=2870522) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Fri May 24 08:56:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act(649)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(649)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(647)@f68e660fac4f: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(648)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(647): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(648): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(649): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Fri May 24 08:56:26 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1863801) Waiting for packet dumper to finish... 1 (prev_count=1863801, count=1864300) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Fri May 24 08:56:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@f68e660fac4f: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@f68e660fac4f: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@f68e660fac4f: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(652)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(652): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653): pass (none -> pass) MTC@f68e660fac4f: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Fri May 24 08:56:32 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1868461) Waiting for packet dumper to finish... 1 (prev_count=1868461, count=1868960) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Fri May 24 08:56:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL(655)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(657)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_ind(657)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(658)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_ind(658)@f68e660fac4f: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"", new component reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" TC_rll_est_ind(658)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7242 MC@f68e660fac4f: Test Component 658 has requested to stop MTC. Terminating current testcase execution. TC_rll_est_ind(658)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" TC_rll_est_ind-RSL(655)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(656)@f68e660fac4f: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(654)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_ind-RSL-IPA(654): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_ind-RSL(655): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(656): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_ind(657): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_ind(658): fail (pass -> fail) reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" MTC@f68e660fac4f: Test case TC_rll_est_ind finished. Verdict: fail reason: "BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind fail'. Fri May 24 08:56:37 UTC 2024 ------ BTS_Tests.TC_rll_est_ind fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2024810) Waiting for packet dumper to finish... 1 (prev_count=2024810, count=2025309) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Fri May 24 08:56:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(662)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(662)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(662)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(662)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(662)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(662)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_DCCH_3(663)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(663)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(663)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(663)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(663)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(663)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(664)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(664)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(664)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(664)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(664)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_DCCH_3(665)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(665)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(665)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(665)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(665)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL-IPA(659)@f68e660fac4f: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL(660)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(661)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(659): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(660): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(661): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3(662): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3(663): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3(664): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_DCCH_3(665): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Fri May 24 08:56:43 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2346088) Waiting for packet dumper to finish... 1 (prev_count=2346088, count=2351239) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Fri May 24 08:56:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(669)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(669)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(669)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(670)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(670)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(670)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(671)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(671)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(671)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(671)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(671)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(671)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_ACCH_3(672)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(672)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(672)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(672)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(672)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(672)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(672)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(667)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(668)@f68e660fac4f: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(666)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(666): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(667): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(668): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3(669): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3(670): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3(671): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_est_req_ACCH_3(672): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Fri May 24 08:56:51 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3593026) Waiting for packet dumper to finish... 1 (prev_count=3593026, count=3593525) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Fri May 24 08:56:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(676)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(677)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(678)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(679)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(674)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(675)@f68e660fac4f: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(673)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(673): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(674): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(675): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0(676): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0(677): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0(678): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_0(679): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Fri May 24 08:56:58 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3068235) Waiting for packet dumper to finish... 1 (prev_count=3068235, count=3068734) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Fri May 24 08:57:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(683)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(684)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(685)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(686)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(681)@f68e660fac4f: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(680)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(682)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(680): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(681): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(682): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3(683): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3(684): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3(685): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_DCCH_3(686): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Fri May 24 08:57:04 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3071843) Waiting for packet dumper to finish... 1 (prev_count=3071843, count=3072342) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Fri May 24 08:57:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(690)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(691)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(692)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(693)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_0-RSL(688)@f68e660fac4f: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(687)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(689)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(687): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(688): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(689): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0(690): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0(691): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0(692): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_0(693): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass'. Fri May 24 08:57:17 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9287430) Waiting for packet dumper to finish... 1 (prev_count=9287430, count=9287929) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Fri May 24 08:57:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(697)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(698)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(699)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(700)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_3-RSL-IPA(694)@f68e660fac4f: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL(695)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(696)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(694): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(695): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(696): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3(697): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3(698): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3(699): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_ind_ACCH_3(700): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass'. Fri May 24 08:57:31 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9247596) Waiting for packet dumper to finish... 1 (prev_count=9247596, count=9248095) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Fri May 24 08:57:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(702)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(702)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(702)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(702)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL(702)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(702)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_rel_req-RSL(702)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(702)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(702)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(702)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(702)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(702)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(702)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(702)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(702)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(702)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(704)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(705)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(706)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(706)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(706)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(706)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(707)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(707)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(707)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(707)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(708)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(708)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(708)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(708)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(709)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(709)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(709)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(709)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(710)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(710)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(710)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(710)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(710)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(710)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(710)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(710)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(710)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(710)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(711)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(711)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(711)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(711)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(712)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(712)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(712)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(712)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(713)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(714)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(714)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(714)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(715)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(716)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(716)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(716)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(716)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(717)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(718)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(718)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(718)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(719)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(702)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(703)@f68e660fac4f: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(701)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req-RSL-IPA(701): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req-RSL(702): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(703): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(704): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(705): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(706): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(707): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(708): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(709): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(710): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(711): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(712): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(713): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(714): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(715): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(716): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(717): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(718): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_rel_req(719): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_rel_req finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Fri May 24 08:57:55 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20791962) Waiting for packet dumper to finish... 1 (prev_count=20791962, count=20792461) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Fri May 24 08:57:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(723)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(724)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(725)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(725)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(725)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(725)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(725)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(726)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(727)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(727)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(727)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(727)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(727)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(728)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(728)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(728)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(728)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(728)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(729)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(729)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(729)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(729)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(729)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'EF932D9590FBC01501F5A6AF8EBB65'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(730)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(730)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'EF932D9590FBC01501F5A6AF8EBB65'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(730)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(721)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(722)@f68e660fac4f: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(720)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(720): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(721): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(722): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(723): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(724): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(725): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(726): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(727): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(728): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(729): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_DCCH(730): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Fri May 24 08:58:02 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3024918) Waiting for packet dumper to finish... 1 (prev_count=3024918, count=3025417) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Fri May 24 08:58:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(734)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(735)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(736)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(737)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(738)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(739)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(740)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9B5D3CB97A5911ACFE1833291AD349B175439E'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9B5D3CB97A5911ACFE1833291AD349B175439E'O } } TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(732)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(733)@f68e660fac4f: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(731)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(731): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(732): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(733): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(734): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(735): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(736): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(737): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(738): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(739): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(740): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_req_ACCH(741): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Fri May 24 08:58:12 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5969091) Waiting for packet dumper to finish... 1 (prev_count=5969091, count=5969590) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Fri May 24 08:58:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(745)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(745)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(745)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(745)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(746)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(746)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(746)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(746)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(747)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(747)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(747)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(747)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(748)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(748)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(748)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(748)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(749)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(749)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(749)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(749)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(750)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(750)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(750)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(750)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(751)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(751)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(751)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(751)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '57126972AD10AC20442F54B08CD55E2ED5F88FD3'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(752)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(752)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(743)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(744)@f68e660fac4f: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(742)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(742): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(743): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(744): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(745): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(746): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(747): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(748): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(749): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(750): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(751): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_DCCH(752): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Fri May 24 08:58:19 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3057900) Waiting for packet dumper to finish... 1 (prev_count=3057900, count=3063616) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Fri May 24 08:58:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(756)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(756)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(756)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(756)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(757)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(757)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(757)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(757)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(758)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(758)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(758)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(758)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(759)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(759)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(759)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(759)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(760)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(760)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(760)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(760)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(761)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(761)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(761)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(761)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(762)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(762)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(762)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(762)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '95990068FE68F0E9C4EEAC266478C2E49092'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(763)@f68e660fac4f: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(763)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(754)@f68e660fac4f: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(753)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(755)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(753): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(754): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(755): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(756): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(757): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(758): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(759): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(760): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(761): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(762): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rll_unit_data_ind_ACCH(763): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Fri May 24 08:58:32 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9256315) Waiting for packet dumper to finish... 1 (prev_count=9256315, count=9256814) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Fri May 24 08:58:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(765)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(765)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(765)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(765)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(765)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL(765)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(765)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(765)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(765)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(765)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(765)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL(765)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(765)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(765)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(765)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(765)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(767)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a51(767)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(767)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a51(767)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(767)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(767)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(767)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a51(768)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a51(768)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a51(768)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(768)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(768)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(768)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(769)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a51(769)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a51(769)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(769)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(769)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(769)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a51(770)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a51(770)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a51(770)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(770)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(770)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(770)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(765)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(766)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(764)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51-RSL-IPA(764): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51-RSL(765): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(766): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51(767): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51(768): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51(769): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a51(770): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_chan_act_a51 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Fri May 24 08:58:38 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2638235) Waiting for packet dumper to finish... 1 (prev_count=2638235, count=2638734) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Fri May 24 08:58:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(772)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(772)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(772)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(772)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL(772)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(772)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(772)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(772)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL(772)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(772)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_a52-RSL(772)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(772)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(772)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(772)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(772)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(772)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(774)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a52(774)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(774)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a52(774)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(774)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(774)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(774)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a52(775)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a52(775)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a52(775)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(775)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(775)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(775)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(776)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a52(776)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a52(776)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(776)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(776)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(776)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a52(777)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a52(777)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a52(777)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(777)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(777)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(777)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(772)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(771)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(773)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52-RSL-IPA(771): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52-RSL(772): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(773): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52(774): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52(775): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52(776): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a52(777): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_chan_act_a52 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Fri May 24 08:58:44 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2634056) Waiting for packet dumper to finish... 1 (prev_count=2634056, count=2634555) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Fri May 24 08:58:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL(779)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(779)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(779)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(779)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL(779)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(779)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(779)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(779)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL(779)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL(779)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(779)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL(779)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(779)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(779)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(779)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(779)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(781)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a53(781)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(781)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a53(781)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(781)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(781)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(781)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a53(782)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a53(782)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a53(782)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(782)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(782)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(782)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(783)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a53(783)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a53(783)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(783)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(783)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(783)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a53(784)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a53(784)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a53(784)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(784)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(784)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(784)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(779)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(780)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(778)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53-RSL-IPA(778): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53-RSL(779): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(780): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53(781): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53(782): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53(783): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a53(784): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_chan_act_a53 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Fri May 24 08:58:51 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2633246) Waiting for packet dumper to finish... 1 (prev_count=2633246, count=2633745) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Fri May 24 08:58:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(786)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(786)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(786)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(786)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(786)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(786)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_chan_act_a54-RSL(786)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(786)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(786)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(786)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_chan_act_a54-RSL(786)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(786)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(786)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(786)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(786)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(786)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(788)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a54(788)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(788)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a54(788)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(788)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(788)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(788)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a54(789)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a54(789)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a54(789)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(789)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(789)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(789)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(790)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a54(790)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a54(790)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(790)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(790)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(790)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a54(791)@f68e660fac4f: setverdict(pass): none -> pass TC_chan_act_a54(791)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FF698ACB6CD3BF13674E11CE341389A438C394F4'O, padding := ''O } } TC_chan_act_a54(791)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(791)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(791)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(791)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(786)@f68e660fac4f: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(785)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(787)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54-RSL-IPA(785): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54-RSL(786): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(787): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54(788): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54(789): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54(790): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_chan_act_a54(791): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_chan_act_a54 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Fri May 24 08:58:57 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2637437) Waiting for packet dumper to finish... 1 (prev_count=2637437, count=2637936) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Fri May 24 08:59:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(795)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(796)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(797)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(798)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(793)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(794)@f68e660fac4f: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(792)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(792): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51-RSL(793): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(794): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51(795): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51(796): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51(797): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a51(798): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Fri May 24 08:59:06 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4808789) Waiting for packet dumper to finish... 1 (prev_count=4808789, count=4809288) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Fri May 24 08:59:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL(800)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL(800)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(802)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(803)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(804)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(805)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(800)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(801)@f68e660fac4f: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(799)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(799): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52-RSL(800): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(801): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52(802): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52(803): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52(804): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a52(805): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Fri May 24 08:59:14 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4804994) Waiting for packet dumper to finish... 1 (prev_count=4804994, count=4805493) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Fri May 24 08:59:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(807)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(809)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(810)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(811)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(812)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(807)@f68e660fac4f: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(806)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(808)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(806): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53-RSL(807): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(808): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53(809): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53(810): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53(811): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a53(812): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Fri May 24 08:59:23 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4807089) Waiting for packet dumper to finish... 1 (prev_count=4807089, count=4807588) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Fri May 24 08:59:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(816)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(817)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(818)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): none -> pass TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A438C394F4DEE53A244634E6DEB059'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(819)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(814)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(815)@f68e660fac4f: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(813)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(813): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54-RSL(814): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(815): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54(816): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54(817): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54(818): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_encr_cmd_a54(819): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Fri May 24 08:59:32 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4808341) Waiting for packet dumper to finish... 1 (prev_count=4808341, count=4808840) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Fri May 24 08:59:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(823)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(821)@f68e660fac4f: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(820)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(822)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(820): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(821): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(822): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_mdisc(823): none (pass -> pass) MTC@f68e660fac4f: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Fri May 24 08:59:37 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1837731) Waiting for packet dumper to finish... 1 (prev_count=1837731, count=1838230) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Fri May 24 08:59:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(827)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(828)@f68e660fac4f: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(828)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(825)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(826)@f68e660fac4f: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(824)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(824): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(825): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(826): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_msg_type(827): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_msg_type(828): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Fri May 24 08:59:43 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1861738) Waiting for packet dumper to finish... 1 (prev_count=1861738, count=1862237) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Fri May 24 08:59:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(832)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL-IPA(829)@f68e660fac4f: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL(830)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(831)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(829): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(830): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(831): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_err_rep_wrong_sequence(832): none (pass -> pass) MTC@f68e660fac4f: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Fri May 24 08:59:48 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1833206) Waiting for packet dumper to finish... 1 (prev_count=1833206, count=1838794) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Fri May 24 08:59:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_lapdm_selftest started. MTC@f68e660fac4f: "ui_s0_empty": matched MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "ui_s3_empty": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "sabm_s0_empty": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "sabm_s0_l3": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "rr_s0_7": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "I/0/0": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: "I/7/0": matched MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: No PTCs were created. MTC@f68e660fac4f: Test case TC_lapdm_selftest finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Fri May 24 08:59:53 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=791136) Waiting for packet dumper to finish... 1 (prev_count=791136, count=980802) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Fri May 24 08:59:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(836)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(836)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(836)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(836)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(837)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(837)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(837)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(837)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(838)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(838)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(838)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(838)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(839)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(839)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(839)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(839)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(840)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(840)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(840)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(840)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(841)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(841)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(841)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(841)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(842)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(842)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(842)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(842)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(843)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(843)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(844)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(844)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(845)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(845)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(846)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(846)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(847)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(847)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(848)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(848)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(849)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(849)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(850)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(850)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(851)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(851)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(852)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(852)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(853)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(853)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(854)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(854)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(855)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(855)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@f68e660fac4f: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(834)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(835)@f68e660fac4f: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(833)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(833): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(834): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(835): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(836): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(837): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(838): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(839): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(840): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(841): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(842): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(843): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(844): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(845): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(846): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(847): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(848): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(849): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(850): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(851): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(852): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(853): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(854): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame(855): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Fri May 24 09:00:15 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17625402) Waiting for packet dumper to finish... 1 (prev_count=17625402, count=17625901) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Fri May 24 09:00:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(859)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(859)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(859)@f68e660fac4f: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(860)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(860)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(860)@f68e660fac4f: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(861)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(861)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(861)@f68e660fac4f: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(862)@f68e660fac4f: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(862)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(862)@f68e660fac4f: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(857)@f68e660fac4f: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(858)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(856): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(857): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(858): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(859): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(860): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(861): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@f68e660fac4f: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(862): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@f68e660fac4f: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Fri May 24 09:00:22 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2814177) Waiting for packet dumper to finish... 1 (prev_count=2814177, count=2814676) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Fri May 24 09:00:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chopped_ipa_ping started. 863@f68e660fac4f: sending byte '00'O 863@f68e660fac4f: sending byte '01'O 863@f68e660fac4f: sending byte 'FE'O 863@f68e660fac4f: sending byte '00'O 863@f68e660fac4f: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 863@f68e660fac4f: received pong from "172.18.225.20" port 36817: '0001FE01'O 863@f68e660fac4f: setverdict(pass): none -> pass 863@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC with component reference 863: pass (none -> pass) MTC@f68e660fac4f: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Fri May 24 09:00:51 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11822615) Waiting for packet dumper to finish... 1 (prev_count=11822615, count=11823114) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Fri May 24 09:00:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_chopped_ipa_payload started. 864@f68e660fac4f: sending byte '04'O 864@f68e660fac4f: sending byte '01'O 864@f68e660fac4f: sending byte '08'O ("\b") 864@f68e660fac4f: sending byte '01'O 864@f68e660fac4f: sending byte '07'O ("\a") 864@f68e660fac4f: sending byte '01'O 864@f68e660fac4f: sending byte '02'O 864@f68e660fac4f: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 864@f68e660fac4f: received IPA message from "172.18.225.20" port 41397: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A65313A313400000202000001FE06'O 864@f68e660fac4f: setverdict(pass): none -> pass 864@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC with component reference 864: pass (none -> pass) MTC@f68e660fac4f: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Fri May 24 09:01:38 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20265411) Waiting for packet dumper to finish... 1 (prev_count=20265411, count=20266347) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Fri May 24 09:01:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(868)@f68e660fac4f: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(869)@f68e660fac4f: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(870)@f68e660fac4f: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(871)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(866)@f68e660fac4f: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(865)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(867)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(865): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(866): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(867): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant(868): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant(869): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant(870): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_constant(871): pass (fail -> fail) MTC@f68e660fac4f: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Fri May 24 09:01:59 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17005198) Waiting for packet dumper to finish... 1 (prev_count=17005198, count=17010786) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Fri May 24 09:02:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(875)@f68e660fac4f: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(876)@f68e660fac4f: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(877)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(878)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(873)@f68e660fac4f: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(874)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(872): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(873): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(874): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(875): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(876): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(877): pass (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(878): pass (fail -> fail) MTC@f68e660fac4f: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Fri May 24 09:02:35 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31873379) Waiting for packet dumper to finish... 1 (prev_count=31873379, count=31873878) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Fri May 24 09:02:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(882)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(882)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(882)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(882)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(882)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(882)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(882)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(882)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(882)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(882)@f68e660fac4f: Final verdict of PTC: pass TC_speech_no_rtp_tchf(883)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(883)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(883)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(883)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(883)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(883)@f68e660fac4f: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(883)@f68e660fac4f: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(883)@f68e660fac4f: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(883)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(883)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(883)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(883)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(883)@f68e660fac4f: Final verdict of PTC: pass TC_speech_no_rtp_tchf(884)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(884)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(884)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Timeout waiting for TRAFFIC.ind", new component reason: "Timeout waiting for TRAFFIC.ind" TC_speech_no_rtp_tchf(884)@f68e660fac4f: Final verdict of PTC: fail reason: "Timeout waiting for TRAFFIC.ind" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(880)@f68e660fac4f: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(879)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(881)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(879): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(880): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(881): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchf(882): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchf(883): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchf(884): fail (pass -> fail) reason: "Timeout waiting for TRAFFIC.ind" MTC@f68e660fac4f: Test case TC_speech_no_rtp_tchf finished. Verdict: fail reason: Timeout waiting for TRAFFIC.ind MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf fail'. Fri May 24 09:02:55 UTC 2024 ------ BTS_Tests.TC_speech_no_rtp_tchf fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18564311) Waiting for packet dumper to finish... 1 (prev_count=18564311, count=18564810) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Fri May 24 09:02:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(888)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(888)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(888)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(888)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(888)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(888)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(888)@f68e660fac4f: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(888)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(888)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(888)@f68e660fac4f: Final verdict of PTC: pass TC_speech_no_rtp_tchh(889)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(889)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(889)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Timeout waiting for TRAFFIC.ind", new component reason: "Timeout waiting for TRAFFIC.ind" TC_speech_no_rtp_tchh(889)@f68e660fac4f: Final verdict of PTC: fail reason: "Timeout waiting for TRAFFIC.ind" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(886)@f68e660fac4f: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(885)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(887)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(885): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(886): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(887): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchh(888): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_no_rtp_tchh(889): fail (pass -> fail) reason: "Timeout waiting for TRAFFIC.ind" MTC@f68e660fac4f: Test case TC_speech_no_rtp_tchh finished. Verdict: fail reason: Timeout waiting for TRAFFIC.ind MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh fail'. Fri May 24 09:03:13 UTC 2024 ------ BTS_Tests.TC_speech_no_rtp_tchh fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15277708) Waiting for packet dumper to finish... 1 (prev_count=15277708, count=15278207) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Fri May 24 09:03:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(893)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(893)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_rtp_tchf(893)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(893)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(893)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(893)@f68e660fac4f: TCH received (len=33): 'D0FF698ACB6CD3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(893)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(893)@f68e660fac4f: TCH received (len=33): 'D0FF698ACB6CD3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(893)@f68e660fac4f: TCH received (len=33): 'D0FF698ACB6CD3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(893)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(893)@f68e660fac4f: Final verdict of PTC: pass TC_speech_rtp_tchf(895)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(895)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_rtp_tchf(895)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(895)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(895)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(895)@f68e660fac4f: TCH received (len=31): 'C0FF698ACB6CD3000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(895)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(895)@f68e660fac4f: TCH received (len=31): 'C0FF698ACB6CD3000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(895)@f68e660fac4f: TCH received (len=31): 'C0FF698ACB6CD3000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(895)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(895)@f68e660fac4f: Final verdict of PTC: pass TC_speech_rtp_tchf(897)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(897)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_rtp_tchf(897)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(897)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(897)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(897)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchf(897)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(897)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchf(897)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchf(897)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(897)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(891)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(896)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(892)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(894)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(898)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(890)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(890): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf-RSL(891): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(892): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf(893): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(894): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf(895): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(896): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf(897): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(898): none (pass -> pass) MTC@f68e660fac4f: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Fri May 24 09:03:25 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9205990) Waiting for packet dumper to finish... 1 (prev_count=9205990, count=9206489) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Fri May 24 09:03:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(902)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(902)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_rtp_tchh(902)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(902)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(902)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(902)@f68e660fac4f: TCH received (len=15): '00FF698ACB6CD30000000000000000'O TC_speech_rtp_tchh(902)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(902)@f68e660fac4f: TCH received (len=15): '00FF698ACB6CD30000000000000000'O TC_speech_rtp_tchh(902)@f68e660fac4f: TCH received (len=15): '00FF698ACB6CD30000000000000000'O TC_speech_rtp_tchh(902)@f68e660fac4f: TCH received (len=15): '00FF698ACB6CD30000000000000000'O TC_speech_rtp_tchh(902)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(902)@f68e660fac4f: Final verdict of PTC: pass TC_speech_rtp_tchh(904)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(904)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_rtp_tchh(904)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(904)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(904)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(904)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchh(904)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(904)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchh(904)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchh(904)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_rtp_tchh(904)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(904)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(900)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(905)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(903)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(901)@f68e660fac4f: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(899)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(899): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh-RSL(900): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(901): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh(902): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(903): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh(904): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(905): none (pass -> pass) MTC@f68e660fac4f: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Fri May 24 09:03:34 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6546900) Waiting for packet dumper to finish... 1 (prev_count=6546900, count=6547399) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Fri May 24 09:03:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(909)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_osmux_tchf(909)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(909)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(909)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: got 'FF698ACB6CD3000000000000000000'O vs exp 'FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchf(909)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(909)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-OsmuxEM(910)@f68e660fac4f: Final verdict of PTC: none TC_speech_osmux_tchf-RSL(907)@f68e660fac4f: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(906)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(908)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(906): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchf-RSL(907): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(908): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchf(909): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(910): none (pass -> pass) MTC@f68e660fac4f: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Fri May 24 09:03:42 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4350361) Waiting for packet dumper to finish... 1 (prev_count=4350361, count=4350860) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Fri May 24 09:03:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh(914)@f68e660fac4f: setverdict(pass): none -> pass TC_speech_osmux_tchh(914)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(914)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(914)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: TCH received (len=17): '2014FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: got 'FF698ACB6CD3000000000000000000'O vs exp 'FF698ACB6CD3000000000000000000'O TC_speech_osmux_tchh(914)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(914)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(912)@f68e660fac4f: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(911)@f68e660fac4f: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(915)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(913)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(911): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchh-RSL(912): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(913): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchh(914): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(915): none (pass -> pass) MTC@f68e660fac4f: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Fri May 24 09:03:50 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4244088) Waiting for packet dumper to finish... 1 (prev_count=4244088, count=4244587) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Fri May 24 09:03:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(919)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(919)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf144(919)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(920)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(919)@f68e660fac4f: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(919)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@f68e660fac4f: Test Component 919 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(918)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf144(919)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL(917)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(920)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf144-RSL-IPA(916)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(916): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf144-RSL(917): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf144(919): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(920): none (fail -> fail) MTC@f68e660fac4f: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Fri May 24 09:03:56 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1867869) Waiting for packet dumper to finish... 1 (prev_count=1867869, count=1868368) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Fri May 24 09:03:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(924)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(924)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf96(924)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(925)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(924)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(924)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(924)@f68e660fac4f: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010101000000000000000101010000010000000000000000000000000000000001000001000000000000010100010000000001000001010100000000000101010000000000000000000101000100000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000010100000100000000000101010000000000000101010101010100000000000000000000000000000000000000000000000001010000000100000000010001010101000100000000010000010001010000010101000000010000000100010001010001000000000101010001000001010001010001000000010000000000000000000000010000010100010001010001010101000001000101000000010001000001010101000000000000010101000000010000000001010101000000000001010000000100000000000001010100010100010101010000000100000001000001010001000101000101010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101010000010000010000010100010101010000000100010100000001000000010001010001010101000100000100000100000000000100000101010000000101000100010100000001010101010101010101000101010000000101000001010001010100000001000101000100000000000000000101010100000000000000000000010001000101000101010100010101010000000000000000010001010101000000010100000001000101000001000001010100010100010101000000010100010001010001010101000001000100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000100000101000100010100010100010001000101010101000101010000000101000001010001000101000000010101010100000100000101010100000101010000010101010101000100000101010000000101000100000000010100010100010100000000010000010100010100000100010101000000010000000100000000010000010101000101000100000100000101010000010101010000000101000100000100000101000000010000010001010101000000000000000001000101000001000001010100010100010101010100000000000101010000010101010100000001010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101000101000001010100000001000101010100000001000001000000000001010100000001010000000000000100010100010101010100010101000000010000010000000001010101010101010101010101000001000101010101000100000101010101000000010100010000000000000000000101010100000001000001000100000101010000000101000100000001000101000000010000010001010101000000000000000001000101000001000001010100010100010000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000010001000100010101000101000100010000000101000000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010000010101000000010101000101010000000101000001010001000101000101000101010001010000000101010100000000000100010100010100000000010100000001000000010100000100010001000100010000010000010001000000010101010000010100010001000101000100010000000000000000000101010100000001010101010100010000010000010101010100000001000101000000010000010001010101000000010001000100010001000001000000010101000000010000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '010100010001000100010000000101000100010101000101010101000000010101000101010000000101000001010001000100010001000100010100010100010101000000010000010100000100000101000100000101010000000101010000010101000000010101010101000000010101000100010000000100010101000000010000000100000000010100010101000101000100010001000101000000000000000000000000000000000001000100010101000000010101010000000100000100000100000000000000000000000000000000010000000000000000000001010101010100000001000100000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000101010101000000010000010000010000010000010101000101010000000100000000000000000000000000000000000000000000000000000000000000000100010100010001010101000000010100010100010101010100000001010100000001000000010101000100010001000101010100000001010001010000000000000000000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101010000000100000101000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000101010000000100000101010000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(924)@f68e660fac4f: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(924)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(925)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(924)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(924)@f68e660fac4f: Final verdict of PTC: pass TC_data_rtp_tchf96(926)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(926)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf96(926)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(927)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(926)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(926)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(926)@f68e660fac4f: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010101000000000000000101010000010000000000000000000000000000000001000001000000000000010100010000000001000001010100000000000101010000000000000000000101000100000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000010100000100000000000101010000000000000101010101010100000000000000000000000000000000000000000000000001010000000100000000010001010101000100000000010000010001010000010101000000010000000100010001010001000000000101010001000001010001010001000000010000000000000000000000010000010100010001010001010101000001000101000000010001000001010101000000000000010101000000010000000001010101000000000001010000000100000000000001010100010100010101010000000100000001000001010001000101000101010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101010000010000010000010100010101010000000100010100000001000000010001010001010101000100000100000100000000000100000101010000000101000100010100000001010101010101010101000101010000000101000001010001010100000001000101000100000000000000000101010100000000000000000000010001000101000101010100010101010000000000000000010001010101000000010100000001000101000001000001010100010100010101000000010100010001010001010101000001000100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000100000101000100010100010100010001000101010101000101010000000101000001010001000101000000010101010100000100000101010100000101010000010101010101000100000101010000000101000100000000010100010100010100000000010000010100010100000100010101000000010000000100000000010000010101000101000100000100000101010000010101010000000101000100000100000101000000010000010001010101000000000000000001000101000001000001010100010100010101010100000000000101010000010101010100000001010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101000101000001010100000001000101010100000001000001000000000001010100000001010000000000000100010100010101010100010101000000010000010000000001010101010101010101010101000001000101010101000100000101010101000000010100010000000000000000000101010100000001000001000100000101010000000101000100000001000101000000010000010001010101000000000000000001000101000001000001010100010100010000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000010001000100010101000101000100010000000101000000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010100000100000000010101010100000101010000010101000000010101000101010000000101000001010001000101000101000101010001010000000101010100000000000100010100010100000000010100000001000000010100000100010001000100010000010000010001000000010101010000010100010001000101000100010000000000000000000101010100000001010101010100010000010000010101010100000001000101000000010000010001010101000000010001000100010001000001000000010101000000010000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010100010001000100010000000101000100010101000101010101000000010101000101010000000101000001010001000100010001000100010100010100010101000000010000010100000100000101000100000101010000000101010000010101000000010101010101000000010101000100010000000100010101000000010000000100000000010100010101000101000100010001000101000000000000000000000000000000000001000100010101000000010101010000000100000100000100000000000000000000000000000000010000000000000000000001010101010100000001000100000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000000000000000101010101000000010000010000010000010000010101000101010000000100000000000000000000000000000000000000000000000000000000000000000100010100010001010101000000010100010100010101010100000001010100000001000000010101000100010001000101010100000001010001010000000000000000000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101010000000100000101000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000000000000000101010000000100000101010000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000001000001000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000001010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000101000000000000000000000000000000000001010000010000000001010000000100000000000000000000000000000000000000000000000000010101010000000000000000000000000000000000000101000000000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000001010100000000010000010000010000000100000101010000000000000000000000000100000001010100000001000001010000000100000000010000000000000000000000000000000000000000000100010100010000010101000000010000000101000000000000000000000000000000010000010001010100000001000001010100000001010001010101010100000001000101000000010001010001000000000000000000010101000000010101000100000000000000000000000100000101010000000100000000000000000100010100010000010000010100000000000000000000000100'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010000010101000000010000000000000000000000010001000100010001010000000100000100000100000000000000000000010101000000000000000101000000000000000000000000000000010000010100000101010000000101010100000000000000010000000000000000000000000000000000000000000000000000000000010101000000000000000000000101010000000100000000000001000001010100000000000000000001010001010100000001000000000000000000000001000001010001000101000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100010100010101010100000001000000010000000000000000000101010000000100000101000101010000000100000000000000000000000000000001010100000000000000000000000000000000000000000000000000010101010000000100000101010000000100000000000000000000000001000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000101000000010000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(926)@f68e660fac4f: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(926)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(927)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(926)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(926)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(922)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(927)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(925)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(923)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(921)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(921): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96-RSL(922): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(923): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96(924): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(925): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96(926): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(927): none (pass -> pass) MTC@f68e660fac4f: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Fri May 24 09:04:02 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2819781) Waiting for packet dumper to finish... 1 (prev_count=2819781, count=2820280) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Fri May 24 09:04:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(931)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(931)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf48(931)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(932)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(931)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(931)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(931)@f68e660fac4f: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000101010000000000000000000000000000000000000100000001000100000000010000000000010101010000000000000000010100000001000100000100010000010000'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '010100010000010100010100000100000000000000000000000000000001010100000100010000000101000101010100010101000101000001000000000000000000000100010000010100000000000001000101010101000000010000010101000100000101000100000100010000000001010000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '010100010000010001000000000001010001000001000001000100010000000100000000010001000001010101010000010100010000000100000000000001000100010100010000010000000000000100010101010000010001000001000100010001000000000000000001010101000101010100000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000010001000100010000000101000001000100000000000000000000000100000000010000000101010101010100000100000001000000000000000001000100010001010101000000010001010100010101010000010101000001000100010001000000000100000001000100000001010000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000010001000000010100000100000001010001010000000100010001000100000000000001000100000000000001000101000101000001000000000001010001010001000000010000000100000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000000000001010000010100010000000100000101000001000100010000000000000001010101000100000001000101000100000101000101000101000001000100000000010100000100010000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000100010100010100000100010000000000000001000100010001000100000001000101000000000001010000010100010100000001000000010000000101000101010001010000010000000001010101000101000100000101000101000101000001000100010001010000000000000000000100'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000010000010001000001000001000100000000000000000000000000000000000001000100010001010100000100010000000101010000010001000001000000000101010000010000000000000000000000000001000000000000000000000000000000010001000001000100000001000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000010000000100000000000000000000000000000000000001000100010001010100010100010100000001000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(931)@f68e660fac4f: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(931)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(932)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(931)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(931)@f68e660fac4f: Final verdict of PTC: pass TC_data_rtp_tchf48(933)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(933)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf48(933)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(934)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(933)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(933)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(933)@f68e660fac4f: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000101010000000000000000000000000000000000000100000001000100000000010000000000010101010000000000000000010100000001000100000100010000010000'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '010100010000010100010100000100000000000000000000000000000001010100000100010000000101000101010100010101000101000001000000000000000000000100010000010100000000000001000101010101000000010000010101000100000101000100000100010000000001010000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '010100010000010001000000000001010001000001000001000100010000000100000000010001000001010101010000010100010000000100000000000001000100010100010000010000000000000100010101010000010001000001000100010001000000000000000001010101000101010100000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000010001000100010000000101000001000100000000000000000000000100000000010000000101010101010100000100000001000000000000000001000100010001010101000000010001010100010101010000010101000001000100010001000000000100000001000100000001010000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000010001000000010100000100000001010001010000000100010001000100000000000001000100000000000001000101000101000001000000000001010001010001000000010000000100000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000000000001010000010100010000000100000101000001000100010000000000000001010101000100000001000101000100000101000101000101000001000100000000010100000100010000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000100010100010100000100010000000000000001000100010001000100000001000101000000000001010000010100010100000001000000010000000101000101010001010000010000000001010101000101000100000101000101000101000001000100010001010000000000000000000100'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000010000010001000001000001000100000000000000000000000000000000000001000100010001010100000100010000000101010000010001000001000000000101010000010000000000000000000000000001000000000000000000000000000000010001000001000100000001000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000010000000100000000000000000000000000000000000001000100010001010100010100010100000001000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(933)@f68e660fac4f: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(933)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(934)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(933)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(933)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(929)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(928)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(934)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(930)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(932)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(928): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48-RSL(929): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(930): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48(931): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(932): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48(933): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(934): none (pass -> pass) MTC@f68e660fac4f: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Fri May 24 09:04:08 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2798716) Waiting for packet dumper to finish... 1 (prev_count=2798716, count=2804304) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Fri May 24 09:04:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(938)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(938)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchh48(938)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(939)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(938)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(938)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(938)@f68e660fac4f: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(938)@f68e660fac4f: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(938)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(939)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(938)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(938)@f68e660fac4f: Final verdict of PTC: pass TC_data_rtp_tchh48(940)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(940)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchh48(940)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(941)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(940)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(940)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(940)@f68e660fac4f: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(940)@f68e660fac4f: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(940)@f68e660fac4f: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(940)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(941)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(940)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(940)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(936)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(935)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(939)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(941)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(937)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(935): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48-RSL(936): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(937): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48(938): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(939): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48(940): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(941): none (pass -> pass) MTC@f68e660fac4f: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Fri May 24 09:04:15 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3034223) Waiting for packet dumper to finish... 1 (prev_count=3034223, count=3034722) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Fri May 24 09:04:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(945)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(945)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf24(945)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(946)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(945)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(945)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(945)@f68e660fac4f: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(945)@f68e660fac4f: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(945)@f68e660fac4f: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(945)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(946)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(945)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(945)@f68e660fac4f: Final verdict of PTC: pass TC_data_rtp_tchf24(947)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(947)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchf24(947)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(948)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(947)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(947)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(947)@f68e660fac4f: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(947)@f68e660fac4f: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(947)@f68e660fac4f: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(947)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(948)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(947)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(947)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(943)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(948)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(946)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(942)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(942): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24-RSL(943): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24(945): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(946): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24(947): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(948): none (pass -> pass) MTC@f68e660fac4f: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Fri May 24 09:04:21 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2427247) Waiting for packet dumper to finish... 1 (prev_count=2427247, count=2427746) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Fri May 24 09:04:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(952)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(952)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchh24(952)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(953)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(952)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(952)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(952)@f68e660fac4f: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(952)@f68e660fac4f: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(952)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(953)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(952)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(952)@f68e660fac4f: Final verdict of PTC: pass TC_data_rtp_tchh24(954)@f68e660fac4f: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(954)@f68e660fac4f: setverdict(pass): none -> pass TC_data_rtp_tchh24(954)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(955)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(954)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(954)@f68e660fac4f: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(954)@f68e660fac4f: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(954)@f68e660fac4f: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(954)@f68e660fac4f: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(954)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(955)@f68e660fac4f: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(954)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(954)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(950)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(955)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(951)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(953)@f68e660fac4f: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(949)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(949): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24-RSL(950): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(951): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24(952): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(953): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24(954): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(955): none (pass -> pass) MTC@f68e660fac4f: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Fri May 24 09:04:28 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3022483) Waiting for packet dumper to finish... 1 (prev_count=3022483, count=3022982) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Fri May 24 09:04:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(957)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(959)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(959)@f68e660fac4f: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(959)@f68e660fac4f: Received IMM.ASS for our RACH! TC_early_immediate_assignment(959)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(959)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(959)@f68e660fac4f: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(960)@f68e660fac4f: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(960)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately", new component reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" MC@f68e660fac4f: Test Component 960 has requested to stop MTC. Terminating current testcase execution. TC_early_immediate_assignment-RSL(957)@f68e660fac4f: Final verdict of PTC: none TC_early_immediate_assignment(960)@f68e660fac4f: Final verdict of PTC: fail reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" TC_early_immediate_assignment-RSL-IPA(956)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(958)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(956): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_early_immediate_assignment-RSL(957): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(958): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_early_immediate_assignment(959): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@f68e660fac4f: Local verdict of PTC TC_early_immediate_assignment(960): fail (pass -> fail) reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" MTC@f68e660fac4f: Test case TC_early_immediate_assignment finished. Verdict: fail reason: Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment fail'. Fri May 24 09:04:35 UTC 2024 ------ BTS_Tests.TC_early_immediate_assignment fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3062774) Waiting for packet dumper to finish... 1 (prev_count=3062774, count=3063273) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Fri May 24 09:04:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 1, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[4] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[5] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 4, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[6] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 3, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Executing step[7] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(964)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":9085 TC_acch_overpower_rxqual_thresh-RSL(962)@f68e660fac4f: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(961)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(963)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(961): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(962): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(963): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh(964): pass (none -> pass) MTC@f68e660fac4f: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass'. Fri May 24 09:05:01 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16155226) Waiting for packet dumper to finish... 1 (prev_count=16155226, count=16155725) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Fri May 24 09:05:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '1'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(968)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":9126 TC_acch_overpower_rxqual_thresh_dtx-RSL(966)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(967)@f68e660fac4f: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(965): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(966): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(967): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(968): pass (none -> pass) MTC@f68e660fac4f: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass'. Fri May 24 09:05:19 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9971755) Waiting for packet dumper to finish... 1 (prev_count=9971755, count=9972254) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Fri May 24 09:05:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(972)@f68e660fac4f: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(972)@f68e660fac4f: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(972)@f68e660fac4f: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(972)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(972)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(972)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":9158 TC_acch_overpower_always_on_facch-RSL(970)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(971)@f68e660fac4f: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(969)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(969): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(970): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(971): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_facch(972): pass (none -> pass) MTC@f68e660fac4f: Test case TC_acch_overpower_always_on_facch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass'. Fri May 24 09:05:36 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_facch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8447475) Waiting for packet dumper to finish... 1 (prev_count=8447475, count=8447974) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Fri May 24 09:05:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(976)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":9190 TC_acch_overpower_always_on_sacch-RSL(974)@f68e660fac4f: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(973)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(975)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(973): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(974): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(975): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_always_on_sacch(976): pass (none -> pass) MTC@f68e660fac4f: Test case TC_acch_overpower_always_on_sacch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass'. Fri May 24 09:05:52 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_sacch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8443283) Waiting for packet dumper to finish... 1 (prev_count=8443283, count=8443782) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Fri May 24 09:05:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(978)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(980)@f68e660fac4f: RxLev(BCCH) := 30 TC_acch_overpower_limit(980)@f68e660fac4f: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): none -> pass TC_acch_overpower_limit(980)@f68e660fac4f: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(980)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(980)@f68e660fac4f: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(980)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":9221 TC_acch_overpower_limit-RSL(978)@f68e660fac4f: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(977)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(979)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(977): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_limit-RSL(978): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(979): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_acch_overpower_limit(980): pass (none -> pass) MTC@f68e660fac4f: Test case TC_acch_overpower_limit finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass'. Fri May 24 09:06:09 UTC 2024 ====== BTS_Tests.TC_acch_overpower_limit pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8443590) Waiting for packet dumper to finish... 1 (prev_count=8443590, count=8449178) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass' was executed successfully (exit status: 0). MC@f68e660fac4f: Test execution finished. MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Fri May 24 09:06:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(982)@f68e660fac4f: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(981)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(983)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(981): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(982): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(983): none (pass -> pass) MTC@f68e660fac4f: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Fri May 24 09:06:24 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6370006) Waiting for packet dumper to finish... 1 (prev_count=6370006, count=6375157) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Fri May 24 09:06:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(985)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(986)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(984): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(985): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(986): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Fri May 24 09:06:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9904145) Waiting for packet dumper to finish... 1 (prev_count=9904145, count=9904644) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Fri May 24 09:06:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(988)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(989)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(987): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(988): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Fri May 24 09:07:01 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9870660) Waiting for packet dumper to finish... 1 (prev_count=9870660, count=9871159) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Fri May 24 09:07:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(991)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(992)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(990): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(991): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(992): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Fri May 24 09:07:20 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9892364) Waiting for packet dumper to finish... 1 (prev_count=9892364, count=9892863) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Fri May 24 09:07:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(994)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(995)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(993): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(994): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(995): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Fri May 24 09:07:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9889132) Waiting for packet dumper to finish... 1 (prev_count=9889132, count=9894720) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Fri May 24 09:07:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(997)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(998)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(996): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(997): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(998): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Fri May 24 09:08:03 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15207693) Waiting for packet dumper to finish... 1 (prev_count=15207693, count=15212844) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Fri May 24 09:08:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1000)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1001)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(999): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1000): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1001): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Fri May 24 09:08:22 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9899514) Waiting for packet dumper to finish... 1 (prev_count=9899514, count=9900013) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Fri May 24 09:08:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1003)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1004)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1002): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1003): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1004): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Fri May 24 09:08:42 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11680001) Waiting for packet dumper to finish... 1 (prev_count=11680001, count=11680500) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Fri May 24 09:08:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1007)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1005): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1006): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1007): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Fri May 24 09:09:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12565083) Waiting for packet dumper to finish... 1 (prev_count=12565083, count=12570234) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Fri May 24 09:09:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1010)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1008): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1009): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1010): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Fri May 24 09:09:35 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20503122) Waiting for packet dumper to finish... 1 (prev_count=20503122, count=20503621) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Fri May 24 09:09:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1012)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1013)@f68e660fac4f: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1011)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1011): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1012): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1013): none (pass -> pass) MTC@f68e660fac4f: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Fri May 24 09:09:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7479705) Waiting for packet dumper to finish... 1 (prev_count=7479705, count=7480204) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Fri May 24 09:09:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1015)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1016)@f68e660fac4f: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1014)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1014): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1015): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1016): none (pass -> pass) MTC@f68e660fac4f: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Fri May 24 09:10:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9893976) Waiting for packet dumper to finish... 1 (prev_count=9893976, count=9894475) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Fri May 24 09:10:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_1block started. TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1018)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1019)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1017): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1018): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1019): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Fri May 24 09:10:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9917290) Waiting for packet dumper to finish... 1 (prev_count=9917290, count=9917789) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Fri May 24 09:10:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_2block started. TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1021)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1022)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1020): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1021): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1022): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Fri May 24 09:10:58 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9922019) Waiting for packet dumper to finish... 1 (prev_count=9922019, count=9922518) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Fri May 24 09:11:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_3block started. TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1024)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1025)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1023): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1024): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1025): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Fri May 24 09:11:17 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9919304) Waiting for packet dumper to finish... 1 (prev_count=9919304, count=9919803) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Fri May 24 09:11:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_4block started. TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1027)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1028)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1026): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1027): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1028): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Fri May 24 09:11:35 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9916550) Waiting for packet dumper to finish... 1 (prev_count=9916550, count=9917049) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Fri May 24 09:11:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_multi started. TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 1 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 2 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1030)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1031)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1029): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1030): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1031): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Fri May 24 09:12:00 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15232067) Waiting for packet dumper to finish... 1 (prev_count=15232067, count=15232566) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Fri May 24 09:12:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_schedule started. TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@f68e660fac4f: Found block_nr 3 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1033)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1034)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1032): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1033): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1034): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Fri May 24 09:12:19 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9925663) Waiting for packet dumper to finish... 1 (prev_count=9925663, count=9931251) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Fri May 24 09:12:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1036)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1037)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1035): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1036): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1037): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Fri May 24 09:12:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11641075) Waiting for packet dumper to finish... 1 (prev_count=11641075, count=11646226) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Fri May 24 09:12:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@f68e660fac4f: Found block_nr 0 of msg 0 MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@f68e660fac4f: Found block_nr 1 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@f68e660fac4f: Found block_nr 2 of msg 0 MTC@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 2 of DEFAULT/NULL MTC@f68e660fac4f: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 3 of DEFAULT/NULL MTC@f68e660fac4f: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@f68e660fac4f: Found block_nr 0 of DEFAULT/NULL MTC@f68e660fac4f: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@f68e660fac4f: Found block_nr 1 of DEFAULT/NULL MTC@f68e660fac4f: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039)@f68e660fac4f: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1040)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1038): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1039): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1040): none (pass -> pass) MTC@f68e660fac4f: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Fri May 24 09:13:01 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12583699) Waiting for packet dumper to finish... 1 (prev_count=12583699, count=12584198) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Fri May 24 09:13:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1042)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1043)@f68e660fac4f: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1041)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1041): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1042): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1043): none (pass -> pass) MTC@f68e660fac4f: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Fri May 24 09:13:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7454897) Waiting for packet dumper to finish... 1 (prev_count=7454897, count=7455396) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Fri May 24 09:13:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1045)@f68e660fac4f: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1044)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1046)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1044): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1045): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1046): none (pass -> pass) MTC@f68e660fac4f: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Fri May 24 09:13:44 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8512139) Waiting for packet dumper to finish... 1 (prev_count=8512139, count=8517290) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Fri May 24 09:13:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1048)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1048)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1048)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1048)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1048)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1048)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL(1048)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1048)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Quantity of received ETWS PN segments: { 21, 21, 21, 21 } MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1048)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1049)@f68e660fac4f: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1047)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1047): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_etws_p1ro-RSL(1048): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1049): none (pass -> pass) MTC@f68e660fac4f: Test case TC_etws_p1ro finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Fri May 24 09:14:01 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11627971) Waiting for packet dumper to finish... 1 (prev_count=11627971, count=11628470) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Fri May 24 09:14:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1051)@f68e660fac4f: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1050)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1052)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1050): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_etws_p1ro_end-RSL(1051): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1052): none (pass -> pass) MTC@f68e660fac4f: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Fri May 24 09:14:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15127039) Waiting for packet dumper to finish... 1 (prev_count=15127039, count=15127538) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Fri May 24 09:14:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL(1054)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL(1054)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL(1054)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1054)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1054)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1054)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL(1054)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL(1054)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1054)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1054)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_etws_pcu-RSL(1054)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1054)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1054)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1054)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1054)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1054)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: setverdict(pass): none -> pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1054)@f68e660fac4f: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1053)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1055)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: pass MTC@f68e660fac4f: Local verdict of PTC TC_etws_pcu-RSL-IPA(1053): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_etws_pcu-RSL(1054): none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1055): none (pass -> pass) MTC@f68e660fac4f: Test case TC_etws_pcu finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Fri May 24 09:14:27 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1944461) Waiting for packet dumper to finish... 1 (prev_count=1944461, count=1944960) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@f68e660fac4f: Test execution finished. MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Fri May 24 09:14:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1060@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1059)@f68e660fac4f: setverdict(pass): none -> pass 1060@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1060@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1060@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1060@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1059)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1060@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1059)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1060@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1059)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1062@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1061)@f68e660fac4f: setverdict(pass): none -> pass 1062@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1062@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1062@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1062@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1061)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1062@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1061)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1062@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1061)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1064@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1063)@f68e660fac4f: setverdict(pass): none -> pass 1064@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1064@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1064@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1064@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1063)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1064@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1063)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1064@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1063)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1066@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1065)@f68e660fac4f: setverdict(pass): none -> pass 1066@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1066@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1066@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1066@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1065)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1066@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1065)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1066@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1065)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1057)@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1056)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1058)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1056): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1057): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1058): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1059): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1060: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1061): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1062: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1063): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1064: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1065): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1066: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Fri May 24 09:14:34 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3005646) Waiting for packet dumper to finish... 1 (prev_count=3005646, count=3010797) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Fri May 24 09:14:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1071@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1070)@f68e660fac4f: setverdict(pass): none -> pass 1071@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1071@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1071@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1071@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1070)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1071@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1071@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1070)@f68e660fac4f: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1073@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1072)@f68e660fac4f: setverdict(pass): none -> pass 1073@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1073@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1073@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1073@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1072)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1073@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1073@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1072)@f68e660fac4f: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1075@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1074)@f68e660fac4f: setverdict(pass): none -> pass 1075@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1075@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1075@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1075@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1074)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1075@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1075@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1074)@f68e660fac4f: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1077@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1076)@f68e660fac4f: setverdict(pass): none -> pass 1077@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1077@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1077@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1077@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1076)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1077@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1077@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1076)@f68e660fac4f: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068)@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1069)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1067): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1068): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1069): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1070): fail (none -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: Local verdict of PTC with component reference 1071: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1072): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: Local verdict of PTC with component reference 1073: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1074): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: Local verdict of PTC with component reference 1075: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1076): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@f68e660fac4f: Local verdict of PTC with component reference 1077: none (fail -> fail) MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: fail reason: Initial SABM/UA must contain L3 payload but BTS accepts without MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail'. Fri May 24 09:14:40 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3007500) Waiting for packet dumper to finish... 1 (prev_count=3007500, count=3007999) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Fri May 24 09:14:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1082@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1081)@f68e660fac4f: setverdict(pass): none -> pass 1082@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1082@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1082@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1082@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1081)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1082@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1081)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1082@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1081)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1084@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1083)@f68e660fac4f: setverdict(pass): none -> pass 1084@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1084@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1084@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1084@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1083)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1084@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1083)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1084@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1083)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1086@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1085)@f68e660fac4f: setverdict(pass): none -> pass 1086@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1086@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1086@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1086@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1085)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1086@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1085)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1086@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1085)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sabm_ua_dcch_sapi3(1087)@f68e660fac4f: setverdict(pass): none -> pass 1088@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1088@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1088@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1088@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1088@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1087)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1088@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1087)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1088@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1087)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1079)@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1078)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1080)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1078): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1079): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1080): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1081): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1082: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1083): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1084: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1085): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1086: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1087): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1088: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Fri May 24 09:15:06 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22000894) Waiting for packet dumper to finish... 1 (prev_count=22000894, count=22001393) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Fri May 24 09:15:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1093@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1092)@f68e660fac4f: setverdict(pass): none -> pass 1093@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1093@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1093@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1093@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1092)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1093@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1092)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1093@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1092)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1095@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1094)@f68e660fac4f: setverdict(pass): none -> pass 1095@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1095@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1095@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1095@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1094)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1095@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1094)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1095@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1094)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1097@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1096)@f68e660fac4f: setverdict(pass): none -> pass 1097@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1097@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1097@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1097@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1096)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1097@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1096)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1097@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1096)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1099@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1098)@f68e660fac4f: setverdict(pass): none -> pass 1099@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1099@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1099@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1099@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1098)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1099@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1098)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1099@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1098)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1090)@f68e660fac4f: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1089)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1091)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1089): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1090): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1091): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1092): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1093: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1094): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1095: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1096): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1097: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1098): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1099: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Fri May 24 09:15:32 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22050565) Waiting for packet dumper to finish... 1 (prev_count=22050565, count=22051064) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Fri May 24 09:15:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL(1101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1101)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1101)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL(1101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1101)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_contention-RSL(1101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1101)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_contention-RSL(1101)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1101)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1101)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1104@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1103)@f68e660fac4f: setverdict(pass): none -> pass 1104@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1104@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1104@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1104@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1104@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1103)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1104@f68e660fac4f: Final verdict of PTC: none TC_sabm_contention(1103)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1106@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1105)@f68e660fac4f: setverdict(pass): none -> pass 1106@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1106@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1106@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1106@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1106@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1105)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1106@f68e660fac4f: Final verdict of PTC: none TC_sabm_contention(1105)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1108@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1107)@f68e660fac4f: setverdict(pass): none -> pass 1108@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1108@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1108@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1108@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1108@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1107)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1108@f68e660fac4f: Final verdict of PTC: none TC_sabm_contention(1107)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1110@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1109)@f68e660fac4f: setverdict(pass): none -> pass 1110@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1110@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1110@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1110@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1110@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1109)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1110@f68e660fac4f: Final verdict of PTC: none TC_sabm_contention(1109)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL-IPA(1100)@f68e660fac4f: Final verdict of PTC: none TC_sabm_contention-RSL(1101)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1102)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention-RSL-IPA(1100): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention-RSL(1101): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1102): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention(1103): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1104: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention(1105): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1106: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention(1107): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1108: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_contention(1109): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1110: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_contention finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Fri May 24 09:15:58 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22096916) Waiting for packet dumper to finish... 1 (prev_count=22096916, count=22097415) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Fri May 24 09:16:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1115@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1114)@f68e660fac4f: setverdict(pass): none -> pass 1115@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1115@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1115@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1115@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1115@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1114)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1115@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit(1114)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sabm_retransmit(1116)@f68e660fac4f: setverdict(pass): none -> pass 1117@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1117@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1117@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1117@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1117@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1117@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1116)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1117@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit(1116)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1119@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1118)@f68e660fac4f: setverdict(pass): none -> pass 1119@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1119@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1119@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1119@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1119@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1118)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1119@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit(1118)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sabm_retransmit(1120)@f68e660fac4f: setverdict(pass): none -> pass 1121@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1121@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1121@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1121@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1121@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1121@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1120)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1121@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit(1120)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1112)@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1111)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1113)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1111): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit-RSL(1112): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1113): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit(1114): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1115: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit(1116): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1117: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit(1118): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1119: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit(1120): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1121: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_retransmit finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Fri May 24 09:16:06 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4157153) Waiting for packet dumper to finish... 1 (prev_count=4157153, count=4157652) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Fri May 24 09:16:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1126@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1125)@f68e660fac4f: setverdict(pass): none -> pass 1126@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1126@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1126@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1126@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1125)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1126@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1126@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit_bts(1125)@f68e660fac4f: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1128@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1127)@f68e660fac4f: setverdict(pass): none -> pass 1128@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1128@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1128@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1128@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1127)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1128@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1128@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit_bts(1127)@f68e660fac4f: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1130@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1129)@f68e660fac4f: setverdict(pass): none -> pass 1130@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1130@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1130@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1130@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1129)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1130@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1130@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit_bts(1129)@f68e660fac4f: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1132@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1131)@f68e660fac4f: setverdict(pass): none -> pass 1132@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1131)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1132@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1132@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit_bts(1131)@f68e660fac4f: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1123)@f68e660fac4f: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1122)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1124)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1122): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1123): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1124): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts(1125): fail (none -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: Local verdict of PTC with component reference 1126: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts(1127): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: Local verdict of PTC with component reference 1128: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts(1129): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: Local verdict of PTC with component reference 1130: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_retransmit_bts(1131): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@f68e660fac4f: Local verdict of PTC with component reference 1132: none (fail -> fail) MTC@f68e660fac4f: Test case TC_sabm_retransmit_bts finished. Verdict: fail reason: Incorrect number of SABM re-transmissions of observed: 7 MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail'. Fri May 24 09:17:31 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=81952821) Waiting for packet dumper to finish... 1 (prev_count=81952821, count=81953320) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Fri May 24 09:17:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1137@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): none -> pass 1137@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1137@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1137@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1137@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1137@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1137@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1138@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1138@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1138@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1138@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1138@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1138@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1138@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1139@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1139@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1139@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1139@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1139@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1139@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1136)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1139@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1136)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1141@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): none -> pass 1141@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1141@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1141@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1141@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1141@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1141@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1142@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1142@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1142@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1142@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1143@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1143@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1143@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1143@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1143@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1143@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1143@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1145@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): none -> pass 1145@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1145@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1145@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1146@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1146@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1146@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1146@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1146@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1146@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1146@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1147@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1147@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1147@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1147@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1149@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): none -> pass 1149@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1149@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1149@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1150@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1150@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1150@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1150@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1150@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1150@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1150@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1151@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1151@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1151@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1151@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1151@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1151@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1151@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1134)@f68e660fac4f: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1133)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1135)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1133): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp-RSL(1134): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1135): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp(1136): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1137: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1138: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1139: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp(1140): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1141: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1143: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp(1144): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1146: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_invalid_resp(1148): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1150: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1151: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Fri May 24 09:17:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16730172) Waiting for packet dumper to finish... 1 (prev_count=16730172, count=16730671) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Fri May 24 09:17:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1153)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1153)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1153)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1153)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1153)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1153)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1153)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1153)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL(1153)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1153)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1153)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1153)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1153)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_dm-RSL(1153)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1153)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1153)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1156@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1155)@f68e660fac4f: setverdict(pass): none -> pass 1156@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1156@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1155)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1156@f68e660fac4f: Final verdict of PTC: none TC_sabm_dm(1155)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1158@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1157)@f68e660fac4f: setverdict(pass): none -> pass 1158@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1158@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1158@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1158@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1158@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1157)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1158@f68e660fac4f: Final verdict of PTC: none TC_sabm_dm(1157)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1160@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1159)@f68e660fac4f: setverdict(pass): none -> pass 1160@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1160@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1160@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1160@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1160@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1159)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1160@f68e660fac4f: Final verdict of PTC: none TC_sabm_dm(1159)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1162@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1161)@f68e660fac4f: setverdict(pass): none -> pass 1162@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1162@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1162@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1162@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1162@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1161)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1162@f68e660fac4f: Final verdict of PTC: none TC_sabm_dm(1161)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1153)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1154)@f68e660fac4f: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1152)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm-RSL-IPA(1152): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm-RSL(1153): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1154): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm(1155): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm(1157): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1158: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm(1159): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1160: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_dm(1161): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1162: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_dm finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Fri May 24 09:18:14 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14788595) Waiting for packet dumper to finish... 1 (prev_count=14788595, count=14789094) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Fri May 24 09:18:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1167@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1166)@f68e660fac4f: setverdict(pass): none -> pass 1167@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1167@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1167@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1167@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1167@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1166)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1167@f68e660fac4f: Final verdict of PTC: none TC_establish_ign_first_sabm(1166)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1169@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1168)@f68e660fac4f: setverdict(pass): none -> pass 1169@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1169@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1168)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1169@f68e660fac4f: Final verdict of PTC: none TC_establish_ign_first_sabm(1168)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1171@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1170)@f68e660fac4f: setverdict(pass): none -> pass 1171@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1171@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1171@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1171@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1170)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1171@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1170)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1171@f68e660fac4f: Final verdict of PTC: none TC_establish_ign_first_sabm(1170)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1173@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1172)@f68e660fac4f: setverdict(pass): none -> pass 1173@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1172)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1173@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1172)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1173@f68e660fac4f: Final verdict of PTC: none TC_establish_ign_first_sabm(1172)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1164)@f68e660fac4f: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1163)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1165)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1163): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1164): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1165): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm(1166): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1167: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm(1168): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm(1170): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1171: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_establish_ign_first_sabm(1172): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@f68e660fac4f: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Fri May 24 09:18:26 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7728602) Waiting for packet dumper to finish... 1 (prev_count=7728602, count=7729101) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Fri May 24 09:18:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1178@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1177)@f68e660fac4f: setverdict(pass): none -> pass 1178@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1178@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1178@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1178@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 0 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 1 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 2 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 3 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 4 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 5 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 6 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 7 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 8 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Starting iteration 9 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1177)@f68e660fac4f: Completed iteration 1178@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1177)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1178@f68e660fac4f: Final verdict of PTC: none TC_iframe_seq_and_ack(1177)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1180@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1179)@f68e660fac4f: setverdict(pass): none -> pass 1180@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1180@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1180@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1180@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 0 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 1 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 2 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 3 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 4 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 5 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 6 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 7 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 8 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Starting iteration 9 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1179)@f68e660fac4f: Completed iteration 1180@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1179)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1180@f68e660fac4f: Final verdict of PTC: none TC_iframe_seq_and_ack(1179)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1182@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1181)@f68e660fac4f: setverdict(pass): none -> pass 1182@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1182@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1182@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1182@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 0 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 1 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 2 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 3 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 4 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 5 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 6 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 7 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 8 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Starting iteration 9 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1181)@f68e660fac4f: Completed iteration 1182@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1181)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1182@f68e660fac4f: Final verdict of PTC: none TC_iframe_seq_and_ack(1181)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1184@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1183)@f68e660fac4f: setverdict(pass): none -> pass 1184@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1184@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1184@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1184@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 0 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 1 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 2 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 3 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 4 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 5 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 6 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 7 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 8 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Starting iteration 9 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1183)@f68e660fac4f: Completed iteration 1184@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1183)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1184@f68e660fac4f: Final verdict of PTC: none TC_iframe_seq_and_ack(1183)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_seq_and_ack-RSL(1175)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1176)@f68e660fac4f: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1174)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1174): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1175): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1176): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack(1177): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1178: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack(1179): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1180: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack(1181): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1182: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_seq_and_ack(1183): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1184: none (pass -> pass) MTC@f68e660fac4f: Test case TC_iframe_seq_and_ack finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass'. Fri May 24 09:18:45 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14750972) Waiting for packet dumper to finish... 1 (prev_count=14750972, count=14751471) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Fri May 24 09:18:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1189@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1188)@f68e660fac4f: setverdict(pass): none -> pass 1189@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1189@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1189@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1189@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1189@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1188)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1189@f68e660fac4f: Final verdict of PTC: none TC_iframe_timer_recovery(1188)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1191@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1190)@f68e660fac4f: setverdict(pass): none -> pass 1191@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1191@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1191@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1191@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1191@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1190)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1191@f68e660fac4f: Final verdict of PTC: none TC_iframe_timer_recovery(1190)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1193@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1192)@f68e660fac4f: setverdict(pass): none -> pass 1193@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1193@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1193@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1193@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1193@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1192)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1193@f68e660fac4f: Final verdict of PTC: none TC_iframe_timer_recovery(1192)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_iframe_timer_recovery(1194)@f68e660fac4f: setverdict(pass): none -> pass 1195@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1195@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1195@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1195@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1195@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1195@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1194)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1195@f68e660fac4f: Final verdict of PTC: none TC_iframe_timer_recovery(1194)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_timer_recovery-RSL(1186)@f68e660fac4f: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1185)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1187)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1185): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery-RSL(1186): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1187): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery(1188): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1189: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery(1190): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1191: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery(1192): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1193: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_iframe_timer_recovery(1194): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1195: none (pass -> pass) MTC@f68e660fac4f: Test case TC_iframe_timer_recovery finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass'. Fri May 24 09:19:01 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_timer_recovery pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12643023) Waiting for packet dumper to finish... 1 (prev_count=12643023, count=12643522) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Fri May 24 09:19:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1197)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL(1197)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1197)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: Established a new IPA connection (conn_id=4) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1197)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1197)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1197)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1197)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1197)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1197)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1197)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1197)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1200@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1199)@f68e660fac4f: setverdict(pass): none -> pass 1200@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1200@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1200@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1200@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1199)@f68e660fac4f: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1199)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1200@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1200@f68e660fac4f: Final verdict of PTC: none TC_ns_seq_error(1199)@f68e660fac4f: Final verdict of PTC: fail reason: "Missing second REJ" MTC@f68e660fac4f: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1202@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1201)@f68e660fac4f: setverdict(pass): none -> pass 1202@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1202@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1202@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1202@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1201)@f68e660fac4f: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1201)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1202@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1202@f68e660fac4f: Final verdict of PTC: none TC_ns_seq_error(1201)@f68e660fac4f: Final verdict of PTC: fail reason: "Missing second REJ" MTC@f68e660fac4f: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1204@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1203)@f68e660fac4f: setverdict(pass): none -> pass 1204@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1203)@f68e660fac4f: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1203)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1204@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1204@f68e660fac4f: Final verdict of PTC: none TC_ns_seq_error(1203)@f68e660fac4f: Final verdict of PTC: fail reason: "Missing second REJ" MTC@f68e660fac4f: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ns_seq_error(1205)@f68e660fac4f: setverdict(pass): none -> pass 1206@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1206@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1205)@f68e660fac4f: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1205)@f68e660fac4f: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1206@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1206@f68e660fac4f: Final verdict of PTC: none TC_ns_seq_error(1205)@f68e660fac4f: Final verdict of PTC: fail reason: "Missing second REJ" MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_ns_seq_error-RSL(1197)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1198)@f68e660fac4f: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1196)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1196): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error-RSL(1197): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1198): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error(1199): fail (none -> fail) reason: "Missing second REJ" MTC@f68e660fac4f: Local verdict of PTC with component reference 1200: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error(1201): fail (fail -> fail) reason: "Missing second REJ" MTC@f68e660fac4f: Local verdict of PTC with component reference 1202: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error(1203): fail (fail -> fail) reason: "Missing second REJ" MTC@f68e660fac4f: Local verdict of PTC with component reference 1204: none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_ns_seq_error(1205): fail (fail -> fail) reason: "Missing second REJ" MTC@f68e660fac4f: Local verdict of PTC with component reference 1206: none (fail -> fail) MTC@f68e660fac4f: Test case TC_ns_seq_error finished. Verdict: fail reason: Missing second REJ MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Fri May 24 09:19:26 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20800442) Waiting for packet dumper to finish... 1 (prev_count=20800442, count=20800941) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Fri May 24 09:19:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL(1208)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1208)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL(1208)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1208)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1208)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1208)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1208)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1208)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL(1208)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1208)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_nr_seq_error-RSL(1208)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1208)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1208)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1208)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1208)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1208)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1211@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1210)@f68e660fac4f: setverdict(pass): none -> pass 1211@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1211@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1211@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1211@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_nr_seq_error(1210)@f68e660fac4f: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_nr_seq_error(1210)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@f68e660fac4f: Test Component 1210 has requested to stop MTC. Terminating current testcase execution. TC_nr_seq_error-RSL(1208)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1209)@f68e660fac4f: Final verdict of PTC: none 1211@f68e660fac4f: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1207)@f68e660fac4f: Final verdict of PTC: none TC_nr_seq_error(1210)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1207): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_nr_seq_error-RSL(1208): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1209): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_nr_seq_error(1210): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@f68e660fac4f: Local verdict of PTC with component reference 1211: none (fail -> fail) MTC@f68e660fac4f: Test case TC_nr_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error fail'. Fri May 24 09:19:51 UTC 2024 ------ BTS_Tests_LAPDm.TC_nr_seq_error fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24357431) Waiting for packet dumper to finish... 1 (prev_count=24357431, count=24357930) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Fri May 24 09:19:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1216@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1215)@f68e660fac4f: setverdict(pass): none -> pass 1216@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1216@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1216@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1216@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1216@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1215)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1216@f68e660fac4f: Final verdict of PTC: none TC_rec_invalid_frame(1215)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1215)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1218@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1217)@f68e660fac4f: setverdict(pass): none -> pass 1218@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1218@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1218@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1218@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1218@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1217)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1218@f68e660fac4f: Final verdict of PTC: none TC_rec_invalid_frame(1217)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1217)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1220@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1219)@f68e660fac4f: setverdict(pass): none -> pass 1220@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1220@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1220@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1220@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1220@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1219)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1220@f68e660fac4f: Final verdict of PTC: none TC_rec_invalid_frame(1219)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1219)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1222@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1221)@f68e660fac4f: setverdict(pass): none -> pass 1222@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1222@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1222@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1222@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1222@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1221)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1222@f68e660fac4f: Final verdict of PTC: none TC_rec_invalid_frame(1221)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1221)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rec_invalid_frame-RSL(1213)@f68e660fac4f: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1212)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1214)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1212): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame-RSL(1213): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1214): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame(1215): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1216: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame(1217): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1218: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame(1219): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1220: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rec_invalid_frame(1221): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1222: none (pass -> pass) MTC@f68e660fac4f: Test case TC_rec_invalid_frame finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass'. Fri May 24 09:22:14 UTC 2024 ====== BTS_Tests_LAPDm.TC_rec_invalid_frame pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=137778857) Waiting for packet dumper to finish... 1 (prev_count=137778857, count=137784008) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Fri May 24 09:22:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1227@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1226)@f68e660fac4f: setverdict(pass): none -> pass 1227@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1227@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1227@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1227@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1226)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1227@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1226)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1227@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_dcch(1226)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1229@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1228)@f68e660fac4f: setverdict(pass): none -> pass 1229@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1229@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1229@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1229@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1228)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1229@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1228)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1229@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_dcch(1228)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_segm_concat_dcch(1230)@f68e660fac4f: setverdict(pass): none -> pass 1231@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1231@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1231@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1231@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1231@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1230)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1231@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1230)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1231@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_dcch(1230)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_segm_concat_dcch(1232)@f68e660fac4f: setverdict(pass): none -> pass 1233@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1233@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1233@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1233@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1233@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1232)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1233@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1232)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1233@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_dcch(1232)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_dcch-RSL(1224)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1225)@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1223)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1223): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch-RSL(1224): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1225): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch(1226): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1227: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch(1228): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1229: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch(1230): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1231: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_dcch(1232): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1233: none (pass -> pass) MTC@f68e660fac4f: Test case TC_segm_concat_dcch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass'. Fri May 24 09:22:35 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_dcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12200016) Waiting for packet dumper to finish... 1 (prev_count=12200016, count=12200515) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Fri May 24 09:22:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1238@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1237)@f68e660fac4f: setverdict(pass): none -> pass 1238@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1238@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1238@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1238@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1237)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1238@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1237)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1238@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_sacch(1237)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_segm_concat_sacch(1239)@f68e660fac4f: setverdict(pass): none -> pass 1240@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1240@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1240@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1240@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1240@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1239)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1240@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1239)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1240@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_sacch(1239)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1242@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1241)@f68e660fac4f: setverdict(pass): none -> pass 1242@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1242@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1242@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1242@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1241)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1242@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1241)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1242@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_sacch(1241)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1244@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1243)@f68e660fac4f: setverdict(pass): none -> pass 1244@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1244@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1244@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1244@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1243)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1244@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1243)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1244@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_sacch(1243)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_sacch-RSL(1235)@f68e660fac4f: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1234)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1236)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1234): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch-RSL(1235): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1236): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch(1237): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1238: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch(1239): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1240: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch(1241): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1242: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_segm_concat_sacch(1243): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1244: none (pass -> pass) MTC@f68e660fac4f: Test case TC_segm_concat_sacch finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass'. Fri May 24 09:23:39 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_sacch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60457585) Waiting for packet dumper to finish... 1 (prev_count=60457585, count=60462736) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Fri May 24 09:23:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL(1246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1246)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_t200_n200-RSL(1246)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL(1246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1246)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_t200_n200-RSL(1246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1246)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_t200_n200-RSL(1246)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1246)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1246)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1249@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1248)@f68e660fac4f: setverdict(pass): none -> pass 1249@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1249@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1249@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1249@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1248)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1249@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1248)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1249@f68e660fac4f: Final verdict of PTC: none TC_t200_n200(1248)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_t200_n200(1250)@f68e660fac4f: setverdict(pass): none -> pass 1251@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1251@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1251@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1251@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1251@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1250)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1251@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1250)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1251@f68e660fac4f: Final verdict of PTC: none TC_t200_n200(1250)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1253@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1252)@f68e660fac4f: setverdict(pass): none -> pass 1253@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1253@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1253@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1253@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1252)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1253@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1252)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1253@f68e660fac4f: Final verdict of PTC: none TC_t200_n200(1252)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1255@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1254)@f68e660fac4f: setverdict(pass): none -> pass 1255@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1255@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1255@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1255@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1254)@f68e660fac4f: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1255@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1254)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1255@f68e660fac4f: Final verdict of PTC: none TC_t200_n200(1254)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1247)@f68e660fac4f: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1245)@f68e660fac4f: Final verdict of PTC: none TC_t200_n200-RSL(1246)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200-RSL-IPA(1245): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200-RSL(1246): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1247): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200(1248): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1249: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200(1250): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1251: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200(1252): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1253: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_t200_n200(1254): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1255: none (pass -> pass) MTC@f68e660fac4f: Test case TC_t200_n200 finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Fri May 24 09:24:44 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=56600082) Waiting for packet dumper to finish... 1 (prev_count=56600082, count=56605233) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Fri May 24 09:24:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1260@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1259)@f68e660fac4f: setverdict(pass): none -> pass 1260@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1260@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1260@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1260@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1259)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1260@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1259)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1260@f68e660fac4f: Final verdict of PTC: none TC_rr_response_frame_loss(1259)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1262@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1261)@f68e660fac4f: setverdict(pass): none -> pass 1262@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1262@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1262@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1262@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1261)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1262@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1261)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1262@f68e660fac4f: Final verdict of PTC: none TC_rr_response_frame_loss(1261)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1264@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1263)@f68e660fac4f: setverdict(pass): none -> pass 1264@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1264@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1264@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1264@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1263)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1264@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1263)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1264@f68e660fac4f: Final verdict of PTC: none TC_rr_response_frame_loss(1263)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1266@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1265)@f68e660fac4f: setverdict(pass): none -> pass 1266@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1266@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1266@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1266@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1265)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1266@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1265)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1266@f68e660fac4f: Final verdict of PTC: none TC_rr_response_frame_loss(1265)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1257)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1258)@f68e660fac4f: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1256)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1256): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss-RSL(1257): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1258): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss(1259): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1260: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss(1261): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1262: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss(1263): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1264: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_rr_response_frame_loss(1265): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1266: none (pass -> pass) MTC@f68e660fac4f: Test case TC_rr_response_frame_loss finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass'. Fri May 24 09:24:57 UTC 2024 ====== BTS_Tests_LAPDm.TC_rr_response_frame_loss pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5372034) Waiting for packet dumper to finish... 1 (prev_count=5372034, count=5372533) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Fri May 24 09:25:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL(1268)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1268)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1268)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1268)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1268)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1268)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1271@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1270)@f68e660fac4f: setverdict(pass): none -> pass 1271@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1271@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1271@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1271@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1271@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1270)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1271@f68e660fac4f: Final verdict of PTC: none TC_incorrect_cr(1270)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1273@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1272)@f68e660fac4f: setverdict(pass): none -> pass 1273@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1273@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1273@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1273@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1273@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1272)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1273@f68e660fac4f: Final verdict of PTC: none TC_incorrect_cr(1272)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1275@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1274)@f68e660fac4f: setverdict(pass): none -> pass 1275@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1275@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1275@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1275@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1275@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1274)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1275@f68e660fac4f: Final verdict of PTC: none TC_incorrect_cr(1274)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1277@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1276)@f68e660fac4f: setverdict(pass): none -> pass 1277@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1277@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1277@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1277@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1277@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1276)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1277@f68e660fac4f: Final verdict of PTC: none TC_incorrect_cr(1276)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1268)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1269)@f68e660fac4f: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1267)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1267): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr-RSL(1268): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1269): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr(1270): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1271: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr(1272): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1273: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr(1274): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1275: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_incorrect_cr(1276): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1277: none (pass -> pass) MTC@f68e660fac4f: Test case TC_incorrect_cr finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Fri May 24 09:25:16 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15680840) Waiting for packet dumper to finish... 1 (prev_count=15680840, count=15681339) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Fri May 24 09:25:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1282@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1281)@f68e660fac4f: setverdict(pass): none -> pass 1282@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1282@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1282@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1282@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1282@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1281)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1282@f68e660fac4f: Final verdict of PTC: none TC_sabm_incorrect_c(1281)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1284@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1283)@f68e660fac4f: setverdict(pass): none -> pass 1284@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1284@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1284@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1284@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1284@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1283)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1284@f68e660fac4f: Final verdict of PTC: none TC_sabm_incorrect_c(1283)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1286@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1285)@f68e660fac4f: setverdict(pass): none -> pass 1286@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1286@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1286@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1286@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1286@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1285)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1286@f68e660fac4f: Final verdict of PTC: none TC_sabm_incorrect_c(1285)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sabm_incorrect_c(1287)@f68e660fac4f: setverdict(pass): none -> pass 1288@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1288@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1288@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1288@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1288@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1288@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1287)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1288@f68e660fac4f: Final verdict of PTC: none TC_sabm_incorrect_c(1287)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_incorrect_c-RSL(1279)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1280)@f68e660fac4f: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1278)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1278): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c-RSL(1279): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1280): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c(1281): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1282: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c(1283): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1284: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c(1285): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1286: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_sabm_incorrect_c(1287): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1288: none (pass -> pass) MTC@f68e660fac4f: Test case TC_sabm_incorrect_c finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass'. Fri May 24 09:25:25 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_incorrect_c pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4858534) Waiting for packet dumper to finish... 1 (prev_count=4858534, count=4859161) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Fri May 24 09:25:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL(1290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1293@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1292)@f68e660fac4f: setverdict(pass): none -> pass 1293@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1293@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1293@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1293@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1292)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1292)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1293@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1292)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1293@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment(1292)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1295@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1294)@f68e660fac4f: setverdict(pass): none -> pass 1295@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1295@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1295@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1295@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1294)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1294)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1295@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1294)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1295@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment(1294)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1297@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1296)@f68e660fac4f: setverdict(pass): none -> pass 1297@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1297@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1297@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1297@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1296)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1296)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1297@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1296)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1297@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment(1296)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1299@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1298)@f68e660fac4f: setverdict(pass): none -> pass 1299@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1299@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1299@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1299@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1298)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1298)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1299@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1298)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1299@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment(1298)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment-RSL(1290)@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1289)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1291)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1289): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment-RSL(1290): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1291): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment(1292): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1293: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment(1294): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1295: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment(1296): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1297: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment(1298): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1299: none (pass -> pass) MTC@f68e660fac4f: Test case TC_normal_reestablishment finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass'. Fri May 24 09:25:51 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22080213) Waiting for packet dumper to finish... 1 (prev_count=22080213, count=22080712) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Fri May 24 09:25:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1304@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1303)@f68e660fac4f: setverdict(pass): none -> pass 1304@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1304@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1304@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1304@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1303)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1304@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1303)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1304@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1303)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1306@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1305)@f68e660fac4f: setverdict(pass): none -> pass 1306@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1306@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1306@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1306@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1305)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1306@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1305)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1306@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1305)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1308@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1307)@f68e660fac4f: setverdict(pass): none -> pass 1308@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1308@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1308@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1308@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1307)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1308@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1307)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1308@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1307)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1310@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1309)@f68e660fac4f: setverdict(pass): none -> pass 1310@f68e660fac4f: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1310@f68e660fac4f: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1310@f68e660fac4f: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1310@f68e660fac4f: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1309)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1310@f68e660fac4f: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1309)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed 1310@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1309)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1302)@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1300)@f68e660fac4f: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL(1301)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1300): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1301): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1302): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked(1303): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1304: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked(1305): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1306: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked(1307): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1308: none (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_normal_reestablishment_state_unacked(1309): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC with component reference 1310: none (pass -> pass) MTC@f68e660fac4f: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Fri May 24 09:26:13 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17571877) Waiting for packet dumper to finish... 1 (prev_count=17571877, count=17572376) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@f68e660fac4f: Test execution finished. MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Fri May 24 09:26:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1314)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1315)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1314)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1314)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1315)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1315)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1316)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1317)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1316)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1316)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1317)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1317)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1318)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1319)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1318)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1318)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1319)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1319)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1320)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1321)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1320)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1320)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vff(1321)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1321)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1312)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1313)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1311)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1311): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1312): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1313): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1314): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1315): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1316): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1317): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1318): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1319): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1320): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vff(1321): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Fri May 24 09:26:20 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2776090) Waiting for packet dumper to finish... 1 (prev_count=2776090, count=2776589) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Fri May 24 09:26:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh(1325)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1326)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1327)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1328)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1325)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1325)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1326)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1326)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1327)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1327)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1328)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1328)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1329)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1330)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1331)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1332)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1329)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1329)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1330)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1330)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1331)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1331)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1332)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1332)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1333)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1334)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1335)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1336)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1333)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1333)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1334)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1334)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1335)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1335)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1336)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1336)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1337)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1338)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1339)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1340)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1337)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1337)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1338)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1338)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1339)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1339)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1340)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1340)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1323)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1322)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1324)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1322): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1323): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1324): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1325): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1326): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1327): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1328): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1329): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1330): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1331): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1332): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1333): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1334): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1335): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1336): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1337): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1338): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1339): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_vhh(1340): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Fri May 24 09:26:26 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2948978) Waiting for packet dumper to finish... 1 (prev_count=2948978, count=2949477) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Fri May 24 09:26:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh(1344)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1345)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1346)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1344)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1344)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1345)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1345)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1346)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1346)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1347)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1348)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1349)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1347)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1347)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1348)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1348)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1349)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1349)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1350)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1351)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1352)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1350)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1350)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1351)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1351)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1352)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1352)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1353)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1354)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1355)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1353)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1353)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1354)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1354)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1355)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1355)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1342)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1343)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1341)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1341): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1342): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1343): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1344): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1345): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1346): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1347): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1348): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1349): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1350): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1351): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1352): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1353): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1354): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_hvhh(1355): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Fri May 24 09:26:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2847671) Waiting for packet dumper to finish... 1 (prev_count=2847671, count=2852822) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Fri May 24 09:26:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff(1359)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1360)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1359)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1359)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1360)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1360)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1361)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1362)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1361)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1361)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1362)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1362)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1363)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1364)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1363)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1363)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1364)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1364)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1365)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1366)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1365)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1365)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1366)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1366)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1357)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1358)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1356): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1357): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1358): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1359): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1360): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1361): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1362): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1363): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1364): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1365): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1366): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Fri May 24 09:26:40 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2774349) Waiting for packet dumper to finish... 1 (prev_count=2774349, count=2774848) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Fri May 24 09:26:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1370)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1371)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1370)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1370)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1371)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1371)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1372)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1373)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1372)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1372)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1373)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1373)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1374)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1375)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1374)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1374)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1375)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1375)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1376)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1377)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1376)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1376)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1377)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1377)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1368)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1369)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1367): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1368): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1369): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1370): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1371): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1372): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1373): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1374): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1375): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1376): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1377): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Fri May 24 09:26:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2776580) Waiting for packet dumper to finish... 1 (prev_count=2776580, count=2777079) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Fri May 24 09:26:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: Established a new IPA connection (conn_id=5) MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1381)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1382)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1383)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1384)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1381)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1381)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1382)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1382)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1383)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1383)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1384)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1384)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1385)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1386)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1387)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1388)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1385)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1385)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1386)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1386)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1387)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1387)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1388)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1388)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1389)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1390)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1391)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1392)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1389)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1389)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1390)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1390)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1391)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1391)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1392)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1392)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1393)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1394)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1393)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1393)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1394)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1394)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1395)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1396)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1380)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1378): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1379): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1380): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1381): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1382): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1383): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1384): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1385): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1386): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1387): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1388): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1389): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1390): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1391): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1392): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1393): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1394): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1395): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1396): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Fri May 24 09:26:54 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2962228) Waiting for packet dumper to finish... 1 (prev_count=2962228, count=2967635) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Fri May 24 09:26:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1400)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1401)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1402)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1400)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1400)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1401)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1401)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1402)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1402)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1403)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1404)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1405)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1403)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1403)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1404)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1404)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1405)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1405)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1406)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1407)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1408)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1406)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1406)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1407)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1407)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1408)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1408)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1409)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1410)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1411)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1409)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1409)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1410)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1410)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1411)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1411)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1399)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1397): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1398): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1399): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1400): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1401): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1402): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1403): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1404): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1405): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1406): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1407): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1408): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1409): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1410): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1411): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Fri May 24 09:27:01 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2858495) Waiting for packet dumper to finish... 1 (prev_count=2858495, count=2858994) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Fri May 24 09:27:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1415)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1415)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1415)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1415)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1416)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1416)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1416)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1416)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1417)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1417)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1417)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1417)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1418)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1418)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1418)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1418)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1419)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1419)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1419)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1419)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1420)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1420)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1420)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1420)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1421)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1421)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1421)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1421)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1422)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1422)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1422)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1422)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1413)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1412)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1414)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1412): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1413): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1414): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1415): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1416): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1417): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1418): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1419): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1420): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1421): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1422): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Fri May 24 09:27:06 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2023167) Waiting for packet dumper to finish... 1 (prev_count=2023167, count=2023666) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Fri May 24 09:27:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh(1426)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1426)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1427)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1426)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1426)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1427)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1427)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1427)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1428)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1428)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1428)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1429)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1428)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1429)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1429)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1429)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1430)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1430)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1430)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1430)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1431)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1431)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1431)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1431)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1432)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1432)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1432)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1432)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1433)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1433)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1433)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1433)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1434)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1434)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1434)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1434)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1435)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1435)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1435)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1435)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1436)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1436)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1436)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1436)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1437)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1437)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1437)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1437)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1438)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1438)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1438)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1438)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1439)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1439)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1440)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1440)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1440)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1440)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1441)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1441)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1441)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1441)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL(1424)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1425)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1423): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1424): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1425): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1426): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1427): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1428): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1429): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1430): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1431): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1432): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1433): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1434): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1435): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1436): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1437): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1438): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1439): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1440): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1441): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Fri May 24 09:27:13 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2383750) Waiting for packet dumper to finish... 1 (prev_count=2383750, count=2384249) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Fri May 24 09:27:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh(1445)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1445)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1445)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1445)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1446)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1446)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1446)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1447)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1446)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1447)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1447)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1447)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1448)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1448)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1448)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1448)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1449)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1449)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1449)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1449)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1450)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1450)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1450)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1450)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1451)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1451)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1451)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1451)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1452)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1452)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1452)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1452)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1453)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1453)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1453)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1453)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1454)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1454)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1454)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1454)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1455)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1455)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1455)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1455)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1456)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1456)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1456)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1456)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1444)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL(1443)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1442): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1443): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1444): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1445): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1446): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1447): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1448): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1449): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1450): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1451): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1452): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1453): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1454): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1455): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1456): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Fri May 24 09:27:19 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2336140) Waiting for packet dumper to finish... 1 (prev_count=2336140, count=2341728) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Fri May 24 09:27:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff(1460)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1460)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1460)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1460)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1461)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1461)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1461)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1461)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1462)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1462)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1462)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1462)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1463)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1463)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1463)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1463)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1464)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1464)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1464)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1464)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1465)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1465)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1465)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1465)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1466)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1466)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1466)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1466)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1467)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1467)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1467)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1467)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1459)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1457): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1458): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1459): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1460): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1461): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1462): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1463): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1464): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1465): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1466): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1467): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Fri May 24 09:27:27 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3605771) Waiting for packet dumper to finish... 1 (prev_count=3605771, count=3606270) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Fri May 24 09:27:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1471)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1471)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1471)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1471)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1472)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1472)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1472)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1472)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1473)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1473)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1473)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1473)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1474)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1474)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1474)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1474)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1475)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1475)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1475)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1475)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1476)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1476)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1476)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1476)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1477)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1477)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1477)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1477)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1478)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1478)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1478)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1470)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff(1478)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1468): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1469): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1470): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1471): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1472): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1473): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1474): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1475): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1476): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1477): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1478): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Fri May 24 09:27:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2127755) Waiting for packet dumper to finish... 1 (prev_count=2127755, count=2128254) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Fri May 24 09:27:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 2/4 transceiver(s) connected MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1484)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1484)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1484)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1484)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1485)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1485)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1485)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1485)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1486)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1486)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1486)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1486)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1487)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1487)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1488)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1487)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1488)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1487)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1488)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1489)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1489)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1488)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1489)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1489)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1490)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1490)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1490)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1490)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1491)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1491)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1491)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1491)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1481)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1479): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1480): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1481): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1484): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1485): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1486): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1487): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1488): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1489): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1490): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1491): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Fri May 24 09:27:39 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2348334) Waiting for packet dumper to finish... 1 (prev_count=2348334, count=2348833) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Fri May 24 09:27:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1501)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1501)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1501)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1501)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1502)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1502)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1502)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1502)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1503)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1503)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1503)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1503)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1504)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1504)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1504)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1504)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1505)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1505)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1505)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1506)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1505)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1506)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1506)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1506)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1507)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1507)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1507)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1507)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1508)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1508)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1508)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1508)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1509)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1509)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1509)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1509)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1510)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1510)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1510)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1510)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@f68e660fac4f: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@f68e660fac4f: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@f68e660fac4f: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@f68e660fac4f: Final verdict of PTC: pass MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499)@f68e660fac4f: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1500)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1498): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1499): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1500): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1501): pass (none -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1502): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1503): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1504): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1505): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1506): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1507): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1508): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1509): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1510): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511): pass (pass -> pass) MTC@f68e660fac4f: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512): pass (pass -> pass) MTC@f68e660fac4f: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Fri May 24 09:27:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2107693) Waiting for packet dumper to finish... 1 (prev_count=2107693, count=2108192) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@f68e660fac4f: Test execution finished. MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Fri May 24 09:27:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1514)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL(1514)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1514)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1514)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL(1514)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1514)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1514)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL(1514)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1514)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1514)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1514)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1514)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1514)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1514)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1514)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1514)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Sending RSL NOTIF_CMD (start) MTC@f68e660fac4f: setverdict(fail): none -> fail reason: "Timeout waiting for NCH message", new component reason: "Timeout waiting for NCH message" MTC@f68e660fac4f: Sending RSL NOTIF_CMD (stop) MTC@f68e660fac4f: Not received NOTIFICATION/NCH. (as expected) MTC@f68e660fac4f: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":127 TC_vbs_notification-RSL(1514)@f68e660fac4f: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1513)@f68e660fac4f: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1515)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: fail reason: "Timeout waiting for NCH message" MTC@f68e660fac4f: Local verdict of PTC TC_vbs_notification-RSL-IPA(1513): none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC TC_vbs_notification-RSL(1514): none (fail -> fail) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1515): none (fail -> fail) MTC@f68e660fac4f: Test case TC_vbs_notification finished. Verdict: fail reason: Timeout waiting for NCH message MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail'. Fri May 24 09:27:56 UTC 2024 ------ BTS_Tests_ASCI.TC_vbs_notification fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6297242) Waiting for packet dumper to finish... 1 (prev_count=6297242, count=6297741) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Fri May 24 09:27:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1519)@f68e660fac4f: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1519)@f68e660fac4f: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_uplink_free_and_busy(1519)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@f68e660fac4f: Test Component 1519 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_uplink_free_and_busy-RSL(1517)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1516)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy(1519)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1518)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1516): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1517): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1518): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1519): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@f68e660fac4f: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail'. Fri May 24 09:28:01 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1886679) Waiting for packet dumper to finish... 1 (prev_count=1886679, count=1887178) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Fri May 24 09:28:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1523)@f68e660fac4f: Activating VGCS channel. TC_vgcs_talker_fail(1523)@f68e660fac4f: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_talker_fail(1523)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@f68e660fac4f: Test Component 1523 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_fail-RSL(1521)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_talker_fail(1523)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1522)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1520)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1520): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_fail-RSL(1521): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1522): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_fail(1523): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@f68e660fac4f: Test case TC_vgcs_talker_fail finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail'. Fri May 24 09:28:07 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_fail fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1887698) Waiting for packet dumper to finish... 1 (prev_count=1887698, count=1888197) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Fri May 24 09:28:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1527)@f68e660fac4f: Activating VGCS channel. TC_vgcs_talker_est_rel(1527)@f68e660fac4f: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_talker_est_rel(1527)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@f68e660fac4f: Test Component 1527 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_est_rel-RSL(1525)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_talker_est_rel(1527)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1526)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1524)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1524): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1525): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1526): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_talker_est_rel(1527): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@f68e660fac4f: Test case TC_vgcs_talker_est_rel finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail'. Fri May 24 09:28:12 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885564) Waiting for packet dumper to finish... 1 (prev_count=1885564, count=1886063) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail' was executed successfully (exit status: 0). MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Fri May 24 09:28:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@f68e660fac4f: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@f68e660fac4f: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Mapped TRX#0 to TCP/IP conn_id=2 MTC@f68e660fac4f: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@f68e660fac4f: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@f68e660fac4f: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Mapped TRX#2 to TCP/IP conn_id=4 MTC@f68e660fac4f: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@f68e660fac4f: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Mapped TRX#3 to TCP/IP conn_id=5 MTC@f68e660fac4f: 4/4 transceiver(s) connected MTC@f68e660fac4f: 4/4 RF Resource Indication(s) received MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@f68e660fac4f: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@f68e660fac4f: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1531)@f68e660fac4f: Activating VGCS channel. TC_vgcs_listener_det(1531)@f68e660fac4f: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_listener_det(1531)@f68e660fac4f: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@f68e660fac4f: Test Component 1531 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_listener_det-RSL(1529)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_listener_det(1531)@f68e660fac4f: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1530)@f68e660fac4f: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1528)@f68e660fac4f: Final verdict of PTC: none MTC@f68e660fac4f: Setting final verdict of the test case. MTC@f68e660fac4f: Local verdict of MTC: none MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1528): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_listener_det-RSL(1529): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC IPA-CTRL-CLI-IPA(1530): none (none -> none) MTC@f68e660fac4f: Local verdict of PTC TC_vgcs_listener_det(1531): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@f68e660fac4f: Test case TC_vgcs_listener_det finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@f68e660fac4f: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail'. Fri May 24 09:28:18 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_listener_det fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1882994) Waiting for packet dumper to finish... 1 (prev_count=1882994, count=1883493) MTC@f68e660fac4f: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail' was executed successfully (exit status: 0). MC@f68e660fac4f: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@f68e660fac4f: Terminating MTC. MC@f68e660fac4f: MTC terminated. MC2> exit MC@f68e660fac4f: Shutting down session. MC@f68e660fac4f: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass BTS_Tests.TC_meas_res_speech_tchh pass BTS_Tests.TC_meas_res_speech_tchh_facch pass BTS_Tests.TC_meas_res_speech_tchh_toa256 pass BTS_Tests.TC_meas_res_sign_tchf pass BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass BTS_Tests.TC_meas_res_sign_sdcch8 pass BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass->FAIL BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass BTS_Tests.TC_rll_rel_ind_ACCH_0 pass BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass->FAIL BTS_Tests.TC_speech_no_rtp_tchf pass->FAIL BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass->FAIL BTS_Tests.TC_early_immediate_assignment pass BTS_Tests.TC_acch_overpower_rxqual_thresh pass BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass BTS_Tests.TC_acch_overpower_always_on_facch pass BTS_Tests.TC_acch_overpower_always_on_sacch pass BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass->FAIL BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass->FAIL BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass->FAIL BTS_Tests_LAPDm.TC_nr_seq_error pass BTS_Tests_LAPDm.TC_rec_invalid_frame pass BTS_Tests_LAPDm.TC_segm_concat_dcch pass BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass BTS_Tests_LAPDm.TC_sabm_incorrect_c pass BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass->FAIL BTS_Tests_ASCI.TC_vbs_notification pass->FAIL BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_fail pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass->FAIL BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 16 xfail: 5 pass: 221 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-trxcon + docker kill jenkins-ttcn3-bts-test-latest-2067-trxcon jenkins-ttcn3-bts-test-latest-2067-trxcon + docker wait jenkins-ttcn3-bts-test-latest-2067-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker kill jenkins-ttcn3-bts-test-latest-2067-fake_trx jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker wait jenkins-ttcn3-bts-test-latest-2067-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-bts + docker kill jenkins-ttcn3-bts-test-latest-2067-bts jenkins-ttcn3-bts-test-latest-2067-bts + docker wait jenkins-ttcn3-bts-test-latest-2067-bts 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-bsc + docker kill jenkins-ttcn3-bts-test-latest-2067-bsc jenkins-ttcn3-bts-test-latest-2067-bsc + docker wait jenkins-ttcn3-bts-test-latest-2067-bsc 137 + start_config_virtphy + test_config_enabled virtphy + local config=virtphy + local i + local valid=0 + [ virtphy = generic ] + [ virtphy = virtphy ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ virtphy = generic ] + [ virtphy = oml ] + [ virtphy = hopping ] + return 1 + return + start_config_oml + test_config_enabled oml + local config=oml + local i + local valid=0 + [ oml = generic ] + [ oml = virtphy ] + [ oml = oml ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ oml = generic ] + [ oml = oml ] + return 0 + cp oml/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/osmo-bts.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 225 20 + NET=225 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-latest-2067-bts -d osmocom-build/osmo-bts-latest /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 978bd2f6cbec719cc0cd54249ddc4947ebcc1d0c38f7a3a4d54ac0c5ae95bdf7 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 225 21 + NET=225 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-latest-2067-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.225.20 -r 172.18.225.22 --trx TRX1@172.18.225.20:5700/1 --trx TRX2@172.18.225.20:5700/2 --trx TRX3@172.18.225.20:5700/3 >>/data/fake_trx.out 2>&1 00d2fc9e8740ec3b73ac5622bc6bce0f5c315c2eb2f90503d9fdf76cd0f58bd3 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 225 22 + NET=225 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.225.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 44cabc21dd79013aa5548c2b09eca05adef9140d73f4a21ad852ef6558ab873b + start_testsuite oml + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=oml + docker_network_params 225 10 + NET=225 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.225.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@bceedef57c0c: Unix server socket created successfully. MC@bceedef57c0c: Listening on TCP port 41129. MC2> bceedef57c0c is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests bceedef57c0c 41129 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@bceedef57c0c: New HC connected from 172.18.225.10 [172.18.225.10]. bceedef57c0c: Linux 5.10.0-19-amd64 on x86_64. cmtc MC@bceedef57c0c: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@bceedef57c0c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@bceedef57c0c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@bceedef57c0c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@bceedef57c0c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@bceedef57c0c: Configuration file was processed on all HCs. MC@bceedef57c0c: Creating MTC on host 172.18.225.10. MC@bceedef57c0c: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc'. ------ BTS_Tests_OML.TC_wrong_mdisc ------ Fri May 24 09:28:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_mdisc.pcap" >/data/BTS_Tests_OML.TC_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_mdisc started. TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_mdisc-OML-IPA(3)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_mdisc-OML-IPA(3): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_mdisc finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass'. Fri May 24 09:28:45 UTC 2024 ====== BTS_Tests_OML.TC_wrong_mdisc pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=249918) Waiting for packet dumper to finish... 1 (prev_count=249918, count=250509) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype'. ------ BTS_Tests_OML.TC_wrong_msgtype ------ Fri May 24 09:28:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_msgtype.pcap" >/data/BTS_Tests_OML.TC_wrong_msgtype.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_msgtype started. TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_msgtype-OML-IPA(4)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_msgtype-OML-IPA(4): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_msgtype finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass'. Fri May 24 09:28:50 UTC 2024 ====== BTS_Tests_OML.TC_wrong_msgtype pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_msgtype.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324982) Waiting for packet dumper to finish... 1 (prev_count=324982, count=325481) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length'. ------ BTS_Tests_OML.TC_short_length ------ Fri May 24 09:28:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_short_length.pcap" >/data/BTS_Tests_OML.TC_short_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_short_length started. TC_short_length-OML-IPA(5)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_short_length-OML-IPA(5)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_short_length-OML-IPA(5)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_short_length-OML-IPA(5)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_short_length-OML-IPA(5)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_short_length-OML-IPA(5)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_short_length-OML-IPA(5)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_short_length-OML-IPA(5): none (pass -> pass) MTC@bceedef57c0c: Test case TC_short_length finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass'. Fri May 24 09:28:55 UTC 2024 ====== BTS_Tests_OML.TC_short_length pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_short_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326886) Waiting for packet dumper to finish... 1 (prev_count=326886, count=327385) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length'. ------ BTS_Tests_OML.TC_long_length ------ Fri May 24 09:28:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_long_length.pcap" >/data/BTS_Tests_OML.TC_long_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_long_length started. TC_long_length-OML-IPA(6)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_long_length-OML-IPA(6)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_long_length-OML-IPA(6)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_long_length-OML-IPA(6)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_long_length-OML-IPA(6)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_long_length-OML-IPA(6)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_long_length-OML-IPA(6)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_long_length-OML-IPA(6): none (pass -> pass) MTC@bceedef57c0c: Test case TC_long_length finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass'. Fri May 24 09:29:00 UTC 2024 ====== BTS_Tests_OML.TC_long_length pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_long_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324283) Waiting for packet dumper to finish... 1 (prev_count=324283, count=324874) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement'. ------ BTS_Tests_OML.TC_wrong_placement ------ Fri May 24 09:29:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_placement.pcap" >/data/BTS_Tests_OML.TC_wrong_placement.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_placement started. TC_wrong_placement-OML-IPA(7)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_placement-OML-IPA(7)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_placement-OML-IPA(7)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_placement-OML-IPA(7)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_placement-OML-IPA(7)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_placement-OML-IPA(7)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_placement-OML-IPA(7)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_placement-OML-IPA(7): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_placement finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass'. Fri May 24 09:29:05 UTC 2024 ====== BTS_Tests_OML.TC_wrong_placement pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_placement.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327256) Waiting for packet dumper to finish... 1 (prev_count=327256, count=327755) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq'. ------ BTS_Tests_OML.TC_wrong_seq ------ Fri May 24 09:29:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_seq.pcap" >/data/BTS_Tests_OML.TC_wrong_seq.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_seq started. TC_wrong_seq-OML-IPA(8)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_seq-OML-IPA(8)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_seq-OML-IPA(8)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_seq-OML-IPA(8)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_seq-OML-IPA(8)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_seq-OML-IPA(8)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_seq-OML-IPA(8)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_seq-OML-IPA(8): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_seq finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass'. Fri May 24 09:29:10 UTC 2024 ====== BTS_Tests_OML.TC_wrong_seq pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_seq.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323453) Waiting for packet dumper to finish... 1 (prev_count=323453, count=323952) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class'. ------ BTS_Tests_OML.TC_wrong_obj_class ------ Fri May 24 09:29:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_obj_class.pcap" >/data/BTS_Tests_OML.TC_wrong_obj_class.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_obj_class started. TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_obj_class-OML-IPA(9)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_obj_class-OML-IPA(9): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_obj_class finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass'. Fri May 24 09:29:15 UTC 2024 ====== BTS_Tests_OML.TC_wrong_obj_class pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_obj_class.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323300) Waiting for packet dumper to finish... 1 (prev_count=323300, count=323799) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr'. ------ BTS_Tests_OML.TC_wrong_bts_nr ------ Fri May 24 09:29:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_bts_nr started. TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_bts_nr-OML-IPA(10)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_bts_nr-OML-IPA(10): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_bts_nr finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass'. Fri May 24 09:29:20 UTC 2024 ====== BTS_Tests_OML.TC_wrong_bts_nr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_bts_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327832) Waiting for packet dumper to finish... 1 (prev_count=327832, count=328331) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr'. ------ BTS_Tests_OML.TC_wrong_trx_nr ------ Fri May 24 09:29:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_wrong_trx_nr started. TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_trx_nr-OML-IPA(11)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_wrong_trx_nr-OML-IPA(11): none (pass -> pass) MTC@bceedef57c0c: Test case TC_wrong_trx_nr finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass'. Fri May 24 09:29:25 UTC 2024 ====== BTS_Tests_OML.TC_wrong_trx_nr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_wrong_trx_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322934) Waiting for packet dumper to finish... 1 (prev_count=322934, count=323433) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr'. ------ BTS_Tests_OML.TC_radio_carrier_opstart_noattr ------ Fri May 24 09:29:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_radio_carrier_opstart_noattr started. TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_radio_carrier_opstart_noattr-OML-IPA(12)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_radio_carrier_opstart_noattr-OML-IPA(12): none (pass -> pass) MTC@bceedef57c0c: Test case TC_radio_carrier_opstart_noattr finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass'. Fri May 24 09:29:30 UTC 2024 ====== BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_radio_carrier_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323002) Waiting for packet dumper to finish... 1 (prev_count=323002, count=323501) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart'. ------ BTS_Tests_OML.TC_bts_opstart ------ Fri May 24 09:29:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart.pcap" >/data/BTS_Tests_OML.TC_bts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_bts_opstart started. TC_bts_opstart-OML-IPA(13)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_bts_opstart-OML-IPA(13)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart-OML-IPA(13)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart-OML-IPA(13)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart-OML-IPA(13)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart-OML-IPA(13)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart-OML-IPA(13)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_bts_opstart-OML-IPA(13): none (pass -> pass) MTC@bceedef57c0c: Test case TC_bts_opstart finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass'. Fri May 24 09:29:36 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_bts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=355384) Waiting for packet dumper to finish... 1 (prev_count=355384, count=355883) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr'. ------ BTS_Tests_OML.TC_bts_opstart_noattr ------ Fri May 24 09:29:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_bts_opstart_noattr started. TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart_noattr-OML-IPA(14)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_bts_opstart_noattr-OML-IPA(14): none (pass -> pass) MTC@bceedef57c0c: Test case TC_bts_opstart_noattr finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass'. Fri May 24 09:29:41 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart_noattr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_bts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322896) Waiting for packet dumper to finish... 1 (prev_count=322896, count=323395) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart'. ------ BTS_Tests_OML.TC_ts_opstart ------ Fri May 24 09:29:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart.pcap" >/data/BTS_Tests_OML.TC_ts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_ts_opstart started. TC_ts_opstart-OML-IPA(15)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_ts_opstart-OML-IPA(15)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart-OML-IPA(15)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart-OML-IPA(15)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart-OML-IPA(15)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart-OML-IPA(15)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart-OML-IPA(15)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_ts_opstart-OML-IPA(15): none (pass -> pass) MTC@bceedef57c0c: Test case TC_ts_opstart finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass'. Fri May 24 09:29:46 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_ts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=331638) Waiting for packet dumper to finish... 1 (prev_count=331638, count=332137) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr'. ------ BTS_Tests_OML.TC_ts_opstart_noattr ------ Fri May 24 09:29:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_ts_opstart_noattr started. TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart_noattr-OML-IPA(16)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_ts_opstart_noattr-OML-IPA(16): none (pass -> pass) MTC@bceedef57c0c: Test case TC_ts_opstart_noattr finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass'. Fri May 24 09:29:51 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart_noattr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_ts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323226) Waiting for packet dumper to finish... 1 (prev_count=323226, count=323725) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports'. ------ BTS_Tests_OML.TC_initial_state_reports ------ Fri May 24 09:29:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_initial_state_reports.pcap" >/data/BTS_Tests_OML.TC_initial_state_reports.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_initial_state_reports started. TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } MTC@bceedef57c0c: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", new component reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_initial_state_reports-OML-IPA(17)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@bceedef57c0c: Local verdict of PTC TC_initial_state_reports-OML-IPA(17): none (pass -> pass) MTC@bceedef57c0c: Test case TC_initial_state_reports finished. Verdict: pass reason: "BTS_Tests_OML.ttcn:158 : " MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass'. Fri May 24 09:29:56 UTC 2024 ====== BTS_Tests_OML.TC_initial_state_reports pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_initial_state_reports.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321355) Waiting for packet dumper to finish... 1 (prev_count=321355, count=321854) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd'. ------ BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd ------ Fri May 24 09:29:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap" >/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_ipa_osmo_pcu_anr_fwd started. TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: BTS Features:'01101110111111111111111110000000'B MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass, component reason not changed MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18): none (pass -> pass) MTC@bceedef57c0c: Test case TC_ipa_osmo_pcu_anr_fwd finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass'. Fri May 24 09:30:01 UTC 2024 ====== BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=336387) Waiting for packet dumper to finish... 1 (prev_count=336387, count=336886) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass' was executed successfully (exit status: 0). MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack'. ------ BTS_Tests_OML.TC_ipa_rsl_connect_nack ------ Fri May 24 09:30:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap" >/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@bceedef57c0c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack' was executed successfully (exit status: 0). MTC@bceedef57c0c: Test case TC_ipa_rsl_connect_nack started. TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: Established a new IPA connection (conn_id=2) TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@bceedef57c0c: setverdict(pass): none -> pass MTC@bceedef57c0c: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@bceedef57c0c: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_rsl_connect_nack-OML-IPA(19)@bceedef57c0c: Final verdict of PTC: none MTC@bceedef57c0c: Setting final verdict of the test case. MTC@bceedef57c0c: Local verdict of MTC: pass MTC@bceedef57c0c: Local verdict of PTC TC_ipa_rsl_connect_nack-OML-IPA(19): none (pass -> pass) MTC@bceedef57c0c: Test case TC_ipa_rsl_connect_nack finished. Verdict: pass MTC@bceedef57c0c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass'. Fri May 24 09:30:06 UTC 2024 ====== BTS_Tests_OML.TC_ipa_rsl_connect_nack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_OML.TC_ipa_rsl_connect_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323241) Waiting for packet dumper to finish... 1 (prev_count=323241, count=323740) MTC@bceedef57c0c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass' was executed successfully (exit status: 0). MC@bceedef57c0c: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@bceedef57c0c: Terminating MTC. MC@bceedef57c0c: MTC terminated. MC2> exit MC@bceedef57c0c: Shutting down session. MC@bceedef57c0c: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-oml-21.log' -------------------- NEW: PASS BTS_Tests_OML.TC_wrong_mdisc NEW: PASS BTS_Tests_OML.TC_wrong_msgtype NEW: PASS BTS_Tests_OML.TC_short_length NEW: PASS BTS_Tests_OML.TC_long_length NEW: PASS BTS_Tests_OML.TC_wrong_placement NEW: PASS BTS_Tests_OML.TC_wrong_seq NEW: PASS BTS_Tests_OML.TC_wrong_obj_class NEW: PASS BTS_Tests_OML.TC_wrong_bts_nr NEW: PASS BTS_Tests_OML.TC_wrong_trx_nr NEW: PASS BTS_Tests_OML.TC_radio_carrier_opstart_noattr NEW: PASS BTS_Tests_OML.TC_bts_opstart NEW: PASS BTS_Tests_OML.TC_bts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_ts_opstart NEW: PASS BTS_Tests_OML.TC_ts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_initial_state_reports NEW: PASS BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd NEW: PASS BTS_Tests_OML.TC_ipa_rsl_connect_nack Summary: NEW: PASS: 17 skip: 242 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_bts_opstart.merged Generated BTS_Tests.TC_bts_opstart_noattr.merged Generated BTS_Tests.TC_initial_state_reports.merged Generated BTS_Tests.TC_ipa_osmo_pcu_anr_fwd.merged Generated BTS_Tests.TC_ipa_rsl_connect_nack.merged Generated BTS_Tests.TC_long_length.merged Generated BTS_Tests.TC_radio_carrier_opstart_noattr.merged Generated BTS_Tests.TC_short_length.merged Generated BTS_Tests.TC_ts_opstart.merged Generated BTS_Tests.TC_ts_opstart_noattr.merged Generated BTS_Tests.TC_wrong_bts_nr.merged Generated BTS_Tests.TC_wrong_mdisc.merged Generated BTS_Tests.TC_wrong_msgtype.merged Generated BTS_Tests.TC_wrong_obj_class.merged Generated BTS_Tests.TC_wrong_placement.merged Generated BTS_Tests.TC_wrong_seq.merged Generated BTS_Tests.TC_wrong_trx_nr.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-trxcon + docker kill jenkins-ttcn3-bts-test-latest-2067-trxcon jenkins-ttcn3-bts-test-latest-2067-trxcon + docker wait jenkins-ttcn3-bts-test-latest-2067-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker kill jenkins-ttcn3-bts-test-latest-2067-fake_trx jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker wait jenkins-ttcn3-bts-test-latest-2067-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-bts + docker kill jenkins-ttcn3-bts-test-latest-2067-bts jenkins-ttcn3-bts-test-latest-2067-bts + docker wait jenkins-ttcn3-bts-test-latest-2067-bts 137 + start_config_hopping + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + cp fh/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc/ + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/Makefile Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts/osmo-bts.gen.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=225 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 225 11 + NET=225 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-225 --ip 172.18.225.11 --ip6 fd02:db8:225::11 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.11 --ip6 fd02:db8:225::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bsc:/data --name jenkins-ttcn3-bts-test-latest-2067-bsc -d osmocom-build/osmo-bsc-latest /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 b84b5fae9b06b3ef41e6bfd67d2af5aa956d85c621890da3891c743f2bbbd8d5 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 225 20 + NET=225 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.20 --ip6 fd02:db8:225::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-latest-2067-bts -d osmocom-build/osmo-bts-latest /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 ebdc3ef21a671c8840f958ae6ba051c1f2c7c6e3ed823c1843bea7fcf1076e6f + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 225 21 + NET=225 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.21 --ip6 fd02:db8:225::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-latest-2067-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.225.20 -r 172.18.225.22 --trx TRX1@172.18.225.20:5700/1 --trx TRX2@172.18.225.20:5700/2 --trx TRX3@172.18.225.20:5700/3 >>/data/fake_trx.out 2>&1 83df4e31f7e24c61d6d298820a5620e5cc15ca21ea79c9e04203742f166f2b78 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 225 22 + NET=225 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.22 --ip6 fd02:db8:225::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.225.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 f326d08aa4b55d3fefb3524a9e059c932ea68a20348f9990e37f24531fabf5e8 + start_testsuite hopping + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=hopping + docker_network_params 225 10 + NET=225 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 + docker run --rm --network ttcn3-bts-test-225 --ip 172.18.225.10 --ip6 fd02:db8:225::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.225.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-latest-2067-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@9e4dd418fd54: Unix server socket created successfully. MC@9e4dd418fd54: Listening on TCP port 41263. MC2> 9e4dd418fd54 is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests 9e4dd418fd54 41263 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@9e4dd418fd54: New HC connected from 172.18.225.10 [172.18.225.10]. 9e4dd418fd54: Linux 5.10.0-19-amd64 on x86_64. cmtc MC@9e4dd418fd54: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@9e4dd418fd54: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@9e4dd418fd54: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@9e4dd418fd54: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@9e4dd418fd54: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@9e4dd418fd54: Configuration file was processed on all HCs. MC@9e4dd418fd54: Creating MTC on host 172.18.225.10. MC@9e4dd418fd54: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Fri May 24 09:30:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(6)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(7)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(8)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(9)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(10)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_est_dchan(11)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 2 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11000000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(11)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(12)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 3, hsn := 3 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(12)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(13)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(14)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(15)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(16)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(16)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(17)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(17)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(18)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(18)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(19)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(19)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(20)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(20)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(21)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(21)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(22)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(22)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(23)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 45, t2 := 24 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(23)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(24)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 1, t3 := 4, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(24)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(25)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01111111'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_est_dchan(25)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@9e4dd418fd54: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Fri May 24 09:30:29 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13095567) Waiting for packet dumper to finish... 1 (prev_count=13095567, count=13101155) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Fri May 24 09:30:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL(27)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_stress finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Fri May 24 09:30:36 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9055682) Waiting for packet dumper to finish... 1 (prev_count=9055682, count=9056181) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Fri May 24 09:30:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_react-RSL(31)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_react(33)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_react finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Fri May 24 09:30:42 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892054) Waiting for packet dumper to finish... 1 (prev_count=1892054, count=1892645) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Fri May 24 09:30:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1077 IPA-CTRL-CLI-IPA(36)@9e4dd418fd54: Final verdict of PTC: none TC_chan_deact_not_active-RSL(35)@9e4dd418fd54: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Fri May 24 09:30:48 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1876483) Waiting for packet dumper to finish... 1 (prev_count=1876483, count=1876982) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Fri May 24 09:30:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(51)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(52)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@9e4dd418fd54: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Fri May 24 09:30:53 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1964896) Waiting for packet dumper to finish... 1 (prev_count=1964896, count=1965395) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Fri May 24 09:30:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL(55)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL(55)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(57)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(58)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(59)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(60)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(61)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_deact_sacch(62)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(62)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(63)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(63)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(64)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(65)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(66)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(67)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(67)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(68)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(68)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(69)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(69)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(70)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(70)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(71)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(71)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(72)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(72)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(73)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(73)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(74)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(74)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(75)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(75)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(76)@9e4dd418fd54: setverdict(pass): none -> pass TC_deact_sacch(76)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@9e4dd418fd54: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_deact_sacch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Fri May 24 09:32:28 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=78195108) Waiting for packet dumper to finish... 1 (prev_count=78195108, count=78195607) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Fri May 24 09:32:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(80)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(81)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(82)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(83)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(84)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_filling(85)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(85)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(86)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(86)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(87)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(88)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(89)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(90)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(90)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(91)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(91)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(92)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(92)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(93)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(93)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(94)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(94)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(95)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(95)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(96)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(96)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(97)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(97)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(98)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(98)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(99)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_filling(99)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_filling finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Fri May 24 09:32:48 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11258528) Waiting for packet dumper to finish... 1 (prev_count=11258528, count=11259027) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Fri May 24 09:32:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL(101)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_info_mod(108)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(109)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(113)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(114)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(115)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(116)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(117)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(118)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(119)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(120)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(121)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(122)@9e4dd418fd54: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_info_mod finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Fri May 24 09:33:22 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27071706) Waiting for packet dumper to finish... 1 (prev_count=27071706, count=27072205) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Fri May 24 09:33:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL(124)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_multi-RSL(124)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL(124)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_multi finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Fri May 24 09:34:53 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=75415883) Waiting for packet dumper to finish... 1 (prev_count=75415883, count=75416382) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Fri May 24 09:34:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Fri May 24 09:36:33 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=80440474) Waiting for packet dumper to finish... 1 (prev_count=80440474, count=80440973) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Fri May 24 09:36:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL(170)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL(170)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL(170)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(172)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(173)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(174)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(175)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(176)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_chan_act(177)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(177)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(178)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(178)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(179)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(180)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(181)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(182)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(182)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(183)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(183)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(184)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(184)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(185)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(185)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(186)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(186)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(187)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(187)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(188)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(188)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(189)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(189)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(190)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(190)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(191)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act(191)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_chan_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Fri May 24 09:37:08 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=23828513) Waiting for packet dumper to finish... 1 (prev_count=23828513, count=23829012) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Fri May 24 09:37:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Fri May 24 09:37:42 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27958717) Waiting for packet dumper to finish... 1 (prev_count=27958717, count=27959216) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Fri May 24 09:37:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: Timeout waiting for SACCH '7FC4BCFE7B8985B10FA49B750754C9B0440A86'O TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@9e4dd418fd54: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Fri May 24 09:38:35 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=44029422) Waiting for packet dumper to finish... 1 (prev_count=44029422, count=44034573) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Fri May 24 09:38:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL(207)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 24, t2 := 11 } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@9e4dd418fd54: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_content finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Fri May 24 09:38:54 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12685970) Waiting for packet dumper to finish... 1 (prev_count=12685970, count=12686469) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Fri May 24 09:38:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL(210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@9e4dd418fd54: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@9e4dd418fd54: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_content_emerg finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Fri May 24 09:39:08 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11716125) Waiting for packet dumper to finish... 1 (prev_count=11716125, count=11716624) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Fri May 24 09:39:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL(213)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL(213)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@9e4dd418fd54: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_count finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Fri May 24 09:39:27 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14906223) Waiting for packet dumper to finish... 1 (prev_count=14906223, count=14906722) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Fri May 24 09:39:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL(216)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL(216)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL(216)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL(216)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@9e4dd418fd54: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_max_ta finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Fri May 24 09:39:42 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10615302) Waiting for packet dumper to finish... 1 (prev_count=10615302, count=10615801) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Fri May 24 09:39:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ho_rach-RSL(219)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_ho_rach-RSL(219)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(221)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(222)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(223)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(224)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(225)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_ho_rach(226)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(226)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(227)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(227)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(228)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(229)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(230)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(231)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(231)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(231)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(232)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(232)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(232)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(233)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(233)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(234)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(234)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(235)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(235)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(236)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(236)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(237)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(237)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(238)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(238)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(239)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(239)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(240)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_rach(240)@9e4dd418fd54: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@9e4dd418fd54: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_ho_rach finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Fri May 24 09:39:50 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4259422) Waiting for packet dumper to finish... 1 (prev_count=4259422, count=4264115) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Fri May 24 09:39:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL(242)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@9e4dd418fd54: setverdict(pass): none -> pass TC_ho_physical_info(244)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@9e4dd418fd54: Sending handover Access Burst TC_ho_physical_info(244)@9e4dd418fd54: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@9e4dd418fd54: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info(244)@9e4dd418fd54: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info-RSL(242)@9e4dd418fd54: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@9e4dd418fd54: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Fri May 24 09:39:58 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4244449) Waiting for packet dumper to finish... 1 (prev_count=4244449, count=4244948) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Fri May 24 09:40:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@9e4dd418fd54: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Fri May 24 09:40:14 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5346279) Waiting for packet dumper to finish... 1 (prev_count=5346279, count=5346778) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Fri May 24 09:40:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@9e4dd418fd54: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Fri May 24 09:40:25 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4140230) Waiting for packet dumper to finish... 1 (prev_count=4140230, count=4145381) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Fri May 24 09:40:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_count-RSL(252)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rach_load_count-RSL(252)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@9e4dd418fd54: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@9e4dd418fd54: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rach_load_count finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Fri May 24 09:40:50 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17616066) Waiting for packet dumper to finish... 1 (prev_count=17616066, count=17621654) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Fri May 24 09:40:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Fri May 24 09:41:04 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11414851) Waiting for packet dumper to finish... 1 (prev_count=11414851, count=11415350) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Fri May 24 09:41:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Fri May 24 09:41:17 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11418700) Waiting for packet dumper to finish... 1 (prev_count=11418700, count=11419199) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Fri May 24 09:41:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh(265)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh(265)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 265 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh-RSL-IPA(262)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL(263)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchh(265)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(264)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh(265): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail'. Fri May 24 09:41:24 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2779390) Waiting for packet dumper to finish... 1 (prev_count=2779390, count=2779889) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Fri May 24 09:41:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 269 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_facch-RSL(267)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchh_facch(269)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch-RSL-IPA(266)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(268)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(266): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(267): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(268): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_facch(269): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail'. Fri May 24 09:41:30 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_facch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2774388) Waiting for packet dumper to finish... 1 (prev_count=2774388, count=2775601) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Fri May 24 09:41:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 273 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_toa256-RSL(271)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(272)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256(273)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(270): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(271): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(272): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_toa256(273): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail'. Fri May 24 09:41:37 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2781315) Waiting for packet dumper to finish... 1 (prev_count=2781315, count=2781814) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Fri May 24 09:41:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_meas_res_sign_tchf(278)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf(278)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 278 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchf-RSL(275)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(276)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(274)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_tchf(278)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(274): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchf-RSL(275): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(276): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchf(277): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchf(278): fail (pass -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail'. Fri May 24 09:41:52 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchf fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12112723) Waiting for packet dumper to finish... 1 (prev_count=12112723, count=12113222) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Fri May 24 09:41:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh(282)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh(282)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 282 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh-RSL(280)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(281)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_tchh(282)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh-RSL-IPA(279)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(279): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh-RSL(280): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(281): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh(282): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail'. Fri May 24 09:41:58 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2687379) Waiting for packet dumper to finish... 1 (prev_count=2687379, count=2687878) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Fri May 24 09:42:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@9e4dd418fd54: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@9e4dd418fd54: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@9e4dd418fd54: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(284)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(285)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(283)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(283): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(284): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(285): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4(286): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4(287): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4(288): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch4(289): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Fri May 24 09:42:36 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31951948) Waiting for packet dumper to finish... 1 (prev_count=31951948, count=31952447) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Fri May 24 09:42:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 293 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_sdcch8-RSL(291)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(290)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_sdcch8(293)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" IPA-CTRL-CLI-IPA(292)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(290): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(291): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(292): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_sdcch8(293): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_sign_sdcch8 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail'. Fri May 24 09:42:44 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_sdcch8 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3722948) Waiting for packet dumper to finish... 1 (prev_count=3722948, count=3723575) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Fri May 24 09:42:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@9e4dd418fd54: Test Component 297 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh_toa256-RSL(295)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(296)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256(297)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(294): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(295): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(296): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_sign_tchh_toa256(297): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@9e4dd418fd54: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail'. Fri May 24 09:42:51 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2691218) Waiting for packet dumper to finish... 1 (prev_count=2691218, count=2691717) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Fri May 24 09:42:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(299)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(300)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(298): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(299): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(300): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(301): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Fri May 24 09:43:04 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11420626) Waiting for packet dumper to finish... 1 (prev_count=11420626, count=11425777) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Fri May 24 09:43:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(303)@9e4dd418fd54: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(304)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(302): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(303): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(304): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(305): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Fri May 24 09:43:18 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8650815) Waiting for packet dumper to finish... 1 (prev_count=8650815, count=8651314) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Fri May 24 09:43:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=22 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(309)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(307)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(308)@9e4dd418fd54: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(306): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(307): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(308): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(309): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Fri May 24 09:43:30 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7875416) Waiting for packet dumper to finish... 1 (prev_count=7875416, count=7880567) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Fri May 24 09:43:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=0 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=8 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=8 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=8 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=8 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=16 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=16 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=16 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=16 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=24 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=24 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=24 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=24 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=24 TC_tx_power_down_bcch(313)@9e4dd418fd54: Received rx_level=30 TC_tx_power_down_bcch(313)@9e4dd418fd54: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: IPA: Closed TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@9e4dd418fd54: Final verdict of PTC: none TC_tx_power_down_bcch(313)@9e4dd418fd54: setverdict(pass): none -> pass TC_tx_power_down_bcch(313)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(311)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(312)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(310): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_down_bcch-RSL(311): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(312): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_down_bcch(313): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Fri May 24 09:43:46 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7052372) Waiting for packet dumper to finish... 1 (prev_count=7052372, count=7052871) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Fri May 24 09:43:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=20 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 317 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(315)@9e4dd418fd54: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(316)@9e4dd418fd54: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(317)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(314): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(315): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(316): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tx_power_ramp_adm_state_change(317): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Fri May 24 09:44:11 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18489422) Waiting for packet dumper to finish... 1 (prev_count=18489422, count=18489921) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Fri May 24 09:44:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(319)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(320)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(318): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(319): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(320): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_ass(321): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Fri May 24 09:44:18 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2802068) Waiting for packet dumper to finish... 1 (prev_count=2802068, count=2802567) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Fri May 24 09:44:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(323)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(324)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(322): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(323): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(324): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(325): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Fri May 24 09:44:25 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2796684) Waiting for packet dumper to finish... 1 (prev_count=2796684, count=2801835) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Fri May 24 09:44:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@9e4dd418fd54: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(327)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(328)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(326): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(327): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(328): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl(329): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl(330): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl(331): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_ctrl(332): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Fri May 24 09:45:32 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=65549455) Waiting for packet dumper to finish... 1 (prev_count=65549455, count=65549954) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Fri May 24 09:45:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(334)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(335)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(333): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(334): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(335): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(336): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Fri May 24 09:45:53 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14767279) Waiting for packet dumper to finish... 1 (prev_count=14767279, count=14767778) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Fri May 24 09:45:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(338)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(339)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(338): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(339): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(340): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Fri May 24 09:46:09 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13790323) Waiting for packet dumper to finish... 1 (prev_count=13790323, count=13790822) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Fri May 24 09:46:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(344)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(342)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(343)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(341): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(342): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(343): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(344): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Fri May 24 09:46:34 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24290080) Waiting for packet dumper to finish... 1 (prev_count=24290080, count=24290579) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Fri May 24 09:46:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(348)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(346)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(347)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(345): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(346): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(347): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(348): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Fri May 24 09:46:50 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13778163) Waiting for packet dumper to finish... 1 (prev_count=13778163, count=13783751) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Fri May 24 09:46:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(351)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(350): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(351): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(352): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Fri May 24 09:47:02 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9505333) Waiting for packet dumper to finish... 1 (prev_count=9505333, count=9505832) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Fri May 24 09:47:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(356)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(354)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(355)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(353): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(354): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(355): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(356): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Fri May 24 09:47:18 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13376728) Waiting for packet dumper to finish... 1 (prev_count=13376728, count=13377227) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Fri May 24 09:47:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(360)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(360)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(360)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(361)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(361)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(361)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(362)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(362)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(362)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(363)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(363)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(363)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(358)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(359)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(357): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(358): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(359): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(360): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(361): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(362): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(363): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Fri May 24 09:47:25 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3410831) Waiting for packet dumper to finish... 1 (prev_count=3410831, count=3416110) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Fri May 24 09:47:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(367)@9e4dd418fd54: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(367)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL-IPA(364)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL(365)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(366)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(364): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(365): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(366): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_chan_initial_ta(367): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Fri May 24 09:47:31 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2353716) Waiting for packet dumper to finish... 1 (prev_count=2353716, count=2354215) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Fri May 24 09:47:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(371)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_modify_encr(371)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(371)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_rsl_modify_encr(371)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(372)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_modify_encr(372)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_rsl_modify_encr(372)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(373)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_modify_encr(373)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_rsl_modify_encr(373)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(374)@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_modify_encr(374)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_rsl_modify_encr(374)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(369)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(370)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(368)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(368): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr-RSL(369): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(370): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr(371): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr(372): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr(373): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_modify_encr(374): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Fri May 24 09:47:50 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12998686) Waiting for packet dumper to finish... 1 (prev_count=12998686, count=13004274) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Fri May 24 09:47:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(376)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(377)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(375)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(375): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(376): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(377): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Fri May 24 09:48:09 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14363721) Waiting for packet dumper to finish... 1 (prev_count=14363721, count=14364220) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Fri May 24 09:48:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_conn_fail_crit(381)@9e4dd418fd54: setverdict(pass): none -> pass TC_conn_fail_crit(381)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@9e4dd418fd54: Final verdict of PTC: pass TC_conn_fail_crit-RSL(379)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@9e4dd418fd54: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(378)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(378): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_conn_fail_crit-RSL(379): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_conn_fail_crit(381): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_conn_fail_crit finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Fri May 24 09:48:39 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24626108) Waiting for packet dumper to finish... 1 (prev_count=24626108, count=24626607) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Fri May 24 09:48:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(383)@9e4dd418fd54: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(382)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(382): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_80percent-RSL(383): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Fri May 24 09:49:06 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21647948) Waiting for packet dumper to finish... 1 (prev_count=21647948, count=21648447) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Fri May 24 09:49:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(386)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(387)@9e4dd418fd54: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(385)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(385): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_paging_tmsi_80percent-RSL(386): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(387): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Fri May 24 09:49:33 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22016928) Waiting for packet dumper to finish... 1 (prev_count=22016928, count=22017427) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Fri May 24 09:49:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=536 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(389)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(390)@9e4dd418fd54: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(388)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(388): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_200percent-RSL(389): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(390): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Fri May 24 09:50:11 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32567162) Waiting for packet dumper to finish... 1 (prev_count=32567162, count=32567661) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Fri May 24 09:50:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=873 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(392)@9e4dd418fd54: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(391)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(393)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(391): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_paging_tmsi_200percent-RSL(392): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(393): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Fri May 24 09:50:44 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29891947) Waiting for packet dumper to finish... 1 (prev_count=29891947, count=29897098) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Fri May 24 09:50:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(395)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(396)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(394)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(394): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_protocol_error-RSL(395): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(396): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Fri May 24 09:50:50 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1866389) Waiting for packet dumper to finish... 1 (prev_count=1866389, count=1871977) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Fri May 24 09:50:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(398)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(399)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(397)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(397): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_mand_ie_error-RSL(398): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(399): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Fri May 24 09:50:55 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1868420) Waiting for packet dumper to finish... 1 (prev_count=1868420, count=1868919) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Fri May 24 09:50:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(401)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(402)@9e4dd418fd54: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(400)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(400): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rsl_ie_content_error-RSL(401): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(402): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Fri May 24 09:51:01 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1873660) Waiting for packet dumper to finish... 1 (prev_count=1873660, count=1874159) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Fri May 24 09:51:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_default-RSL(404)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(404)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL(404)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_si_sched_default-RSL(404)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: "TC_si_sched_default": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_default": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_default": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_default": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_default": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_default": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_default": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_default": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(404)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(403)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(405)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_default-RSL-IPA(403): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_default-RSL(404): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(405): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_default finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Fri May 24 09:51:15 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9109118) Waiting for packet dumper to finish... 1 (prev_count=9109118, count=9114269) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Fri May 24 09:51:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_1-RSL(407)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(407)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL(407)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL(407)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: "TC_si_sched_1": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_1": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_1": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_1": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_1": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_1": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_1": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_1": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(407)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(408)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(406)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_1-RSL-IPA(406): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_1-RSL(407): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(408): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_1 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Fri May 24 09:51:28 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9104433) Waiting for packet dumper to finish... 1 (prev_count=9104433, count=9104932) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Fri May 24 09:51:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(410)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(411)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(409)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(409): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2bis-RSL(410): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(411): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_2bis finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Fri May 24 09:51:42 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9109274) Waiting for packet dumper to finish... 1 (prev_count=9109274, count=9109773) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Fri May 24 09:51:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(413)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(412)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(414)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(412): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2ter-RSL(413): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(414): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_2ter finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Fri May 24 09:51:55 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9081489) Waiting for packet dumper to finish... 1 (prev_count=9081489, count=9086182) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Fri May 24 09:51:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(416)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(417)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(415)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(415): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(416): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(417): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Fri May 24 09:52:09 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9109899) Waiting for packet dumper to finish... 1 (prev_count=9109899, count=9110398) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Fri May 24 09:52:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(419)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(420)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(418)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(418): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_2quater-RSL(419): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(420): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_2quater finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Fri May 24 09:52:31 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16312685) Waiting for packet dumper to finish... 1 (prev_count=16312685, count=16313184) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Fri May 24 09:52:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL(422)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(422)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(422)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_si_sched_13-RSL(422)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_si_sched_13-RSL(422)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: "TC_si_sched_13": TC=0 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_13": TC=1 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_13": TC=2 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_13": TC=3 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_13": TC=4 has #of SI=5 MTC@9e4dd418fd54: "TC_si_sched_13": TC=5 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_13": TC=6 has #of SI=4 MTC@9e4dd418fd54: "TC_si_sched_13": TC=7 has #of SI=4 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: not-bccch-extended MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(422)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(423)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(421)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_13-RSL-IPA(421): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_13-RSL(422): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(423): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_13 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Fri May 24 09:52:44 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9123624) Waiting for packet dumper to finish... 1 (prev_count=9123624, count=9124123) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Fri May 24 09:52:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@9e4dd418fd54: Protocol discriminator is not RR (!= '0110'B): 0 MTC@9e4dd418fd54: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@9e4dd418fd54: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@9e4dd418fd54: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@9e4dd418fd54: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@9e4dd418fd54: not-bccch-extended MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(425)@9e4dd418fd54: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(426)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(425): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(426): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Fri May 24 09:53:06 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16336228) Waiting for packet dumper to finish... 1 (prev_count=16336228, count=16336727) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Fri May 24 09:53:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(430)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(430)@9e4dd418fd54: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(428)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(429)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(427)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(427): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(428): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(429): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_dlcx_not_active(430): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Fri May 24 09:53:11 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1881008) Waiting for packet dumper to finish... 1 (prev_count=1881008, count=1881507) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Fri May 24 09:53:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(434)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(434)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(434)@9e4dd418fd54: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(432)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(431)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(433)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(431): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(432): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(433): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_twice_not_active(434): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Fri May 24 09:53:17 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1881424) Waiting for packet dumper to finish... 1 (prev_count=1881424, count=1881923) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Fri May 24 09:53:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(438)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(438)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@9e4dd418fd54: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(437)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(438): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Fri May 24 09:53:22 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1886368) Waiting for packet dumper to finish... 1 (prev_count=1886368, count=1886867) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Fri May 24 09:53:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@9e4dd418fd54: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(441)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(441): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Fri May 24 09:53:28 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1891311) Waiting for packet dumper to finish... 1 (prev_count=1891311, count=1891810) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Fri May 24 09:53:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(446)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(446)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_sdcch_not_active(447)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(447)@9e4dd418fd54: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(444)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(445)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(443): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(444): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(445): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(446): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(447): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Fri May 24 09:53:34 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1883032) Waiting for packet dumper to finish... 1 (prev_count=1883032, count=1883531) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Fri May 24 09:53:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(451)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(451)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(451)@9e4dd418fd54: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_ack_addr(452)@9e4dd418fd54: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(452)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(452)@9e4dd418fd54: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(449)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(450)@9e4dd418fd54: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(448)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(448): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(449): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(450): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_ack_addr(451): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@9e4dd418fd54: Local verdict of PTC TC_ipa_crcx_ack_addr(452): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@9e4dd418fd54: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Fri May 24 09:53:39 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1893580) Waiting for packet dumper to finish... 1 (prev_count=1893580, count=1894079) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Fri May 24 09:53:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: Rx LOAD_IND MTC@9e4dd418fd54: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(454)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@9e4dd418fd54: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(453): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(454): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Fri May 24 09:54:18 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33306076) Waiting for packet dumper to finish... 1 (prev_count=33306076, count=33306575) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Fri May 24 09:54:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(457)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_act_req-RSL(457)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(457)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_act_req-RSL(457)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(458)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(456)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req-RSL-IPA(456): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req-RSL(457): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(458): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_act_req finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Fri May 24 09:54:27 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3268628) Waiting for packet dumper to finish... 1 (prev_count=3268628, count=3269255) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Fri May 24 09:54:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(460)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(461)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(459)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(459): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(460): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(461): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Fri May 24 09:54:38 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4620580) Waiting for packet dumper to finish... 1 (prev_count=4620580, count=4621079) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Fri May 24 09:54:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(463)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(462)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(462): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(463): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Fri May 24 09:54:50 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4615151) Waiting for packet dumper to finish... 1 (prev_count=4615151, count=4620739) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Fri May 24 09:54:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(466)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(467)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(465)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(465): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(466): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(467): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Fri May 24 09:55:01 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4610180) Waiting for packet dumper to finish... 1 (prev_count=4610180, count=4610679) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Fri May 24 09:55:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(469)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(470)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(468)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(468): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_deact_req-RSL(469): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(470): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_deact_req finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Fri May 24 09:55:15 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5554890) Waiting for packet dumper to finish... 1 (prev_count=5554890, count=5560041) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Fri May 24 09:55:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(472)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(473)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(471): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(472): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(473): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Fri May 24 09:55:25 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3706896) Waiting for packet dumper to finish... 1 (prev_count=3706896, count=3712303) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Fri May 24 09:55:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@9e4dd418fd54: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(476)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ver_si1-RSL(475)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(474)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(474): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si1-RSL(475): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(476): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Fri May 24 09:55:30 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1877232) Waiting for packet dumper to finish... 1 (prev_count=1877232, count=1882820) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Fri May 24 09:55:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(478)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(479)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(477)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(477): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si3-RSL(478): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(479): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Fri May 24 09:55:36 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1888041) Waiting for packet dumper to finish... 1 (prev_count=1888041, count=1888540) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Fri May 24 09:55:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@9e4dd418fd54: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(482)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ver_si13-RSL(481)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(480)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(480): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ver_si13-RSL(481): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(482): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Fri May 24 09:55:41 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1884361) Waiting for packet dumper to finish... 1 (prev_count=1884361, count=1884860) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Fri May 24 09:55:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(484)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(483)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(485)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(483): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(484): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(485): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Fri May 24 09:55:50 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4644875) Waiting for packet dumper to finish... 1 (prev_count=4644875, count=4650591) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Fri May 24 09:55:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(487)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(488)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(486)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(486): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(487): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(488): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Fri May 24 09:55:59 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5143652) Waiting for packet dumper to finish... 1 (prev_count=5143652, count=5144588) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Fri May 24 09:56:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(491)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL(490)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(489)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(489): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(490): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(491): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Fri May 24 09:56:13 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8393584) Waiting for packet dumper to finish... 1 (prev_count=8393584, count=8394083) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Fri May 24 09:56:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(493)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(494)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(492)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(492): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(493): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(494): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Fri May 24 09:56:26 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8404209) Waiting for packet dumper to finish... 1 (prev_count=8404209, count=8404708) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Fri May 24 09:56:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(496)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(495)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(497)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(495): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(496): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(497): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Fri May 24 09:56:40 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8391368) Waiting for packet dumper to finish... 1 (prev_count=8391368, count=8396956) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Fri May 24 09:56:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(499)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(500)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(498)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(498): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(499): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(500): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Fri May 24 09:56:51 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5232946) Waiting for packet dumper to finish... 1 (prev_count=5232946, count=5233445) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Fri May 24 09:56:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Sending an Access Burst towards the L1CTL interface MTC@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL_RACH_CONF", new component reason: "Timeout waiting for L1CTL_RACH_CONF" TC_pcu_ptcch-RSL(502)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(503)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(501)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: fail reason: "Timeout waiting for L1CTL_RACH_CONF" MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(501): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ptcch-RSL(502): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(503): none (fail -> fail) MTC@9e4dd418fd54: Test case TC_pcu_ptcch finished. Verdict: fail reason: Timeout waiting for L1CTL_RACH_CONF MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail'. Fri May 24 09:57:01 UTC 2024 ------ BTS_Tests.TC_pcu_ptcch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6135607) Waiting for packet dumper to finish... 1 (prev_count=6135607, count=6136106) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Fri May 24 09:57:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(505)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(506)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(504)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(504): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_agch-RSL(505): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(506): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Fri May 24 09:57:10 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4676020) Waiting for packet dumper to finish... 1 (prev_count=4676020, count=4681608) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Fri May 24 09:57:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(508)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(507)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(509)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(507): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_pch-RSL(508): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(509): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Fri May 24 09:57:19 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4673447) Waiting for packet dumper to finish... 1 (prev_count=4673447, count=4674202) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Fri May 24 09:57:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: IMM.ASS was sent on PCH MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(511)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(512)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(510): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(511): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(512): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Fri May 24 09:57:24 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2116497) Waiting for packet dumper to finish... 1 (prev_count=2116497, count=2121648) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Fri May 24 09:57:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: IMM.ASS was sent on AGCH MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(514)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(515)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(513): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(514): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(515): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Fri May 24 09:57:30 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2071763) Waiting for packet dumper to finish... 1 (prev_count=2071763, count=2077351) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Fri May 24 09:57:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(517)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(517)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(518)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(516)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(516): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rach_content-RSL(517): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(518): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_rach_content finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Fri May 24 09:57:44 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11107345) Waiting for packet dumper to finish... 1 (prev_count=11107345, count=11112496) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Fri May 24 09:57:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(520)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(521)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(519)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(519): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_ext_rach_content-RSL(520): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(521): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Fri May 24 09:57:59 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11119884) Waiting for packet dumper to finish... 1 (prev_count=11119884, count=11120383) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Fri May 24 09:58:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Testing C/I=-256 cB MTC@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"", new component reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":5991 TC_pcu_data_ind_lqual_cb-RSL(523)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(524)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(522): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(523): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(524): none (fail -> fail) MTC@9e4dd418fd54: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: fail reason: "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail'. Fri May 24 09:58:08 UTC 2024 ------ BTS_Tests.TC_pcu_data_ind_lqual_cb fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5420678) Waiting for packet dumper to finish... 1 (prev_count=5420678, count=5421177) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Fri May 24 09:58:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(526)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(527)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(525)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(525): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(526): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(527): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Fri May 24 09:58:14 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2015702) Waiting for packet dumper to finish... 1 (prev_count=2015702, count=2016201) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Fri May 24 09:58:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_time_ind-RSL(529)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: 1083 fn expired with 251 PCU_TIME.ind MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(529)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(530)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(528)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(528): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_time_ind-RSL(529): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(530): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_time_ind finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Fri May 24 09:58:28 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5749031) Waiting for packet dumper to finish... 1 (prev_count=5749031, count=5749530) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Fri May 24 09:58:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(532)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: 1083 fn expired with num_rts_pdtch=251, num_rts_ptcch=10 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(532)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(531)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(533)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(531): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rts_req-RSL(532): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(533): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_rts_req finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Fri May 24 09:58:41 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5723600) Waiting for packet dumper to finish... 1 (prev_count=5723600, count=5724099) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Fri May 24 09:58:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(536)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(537)@9e4dd418fd54: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@9e4dd418fd54: Test Component 537 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(537)@9e4dd418fd54: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(535)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(534)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(534): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_oml_alert-RSL(535): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(536): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(537): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@9e4dd418fd54: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Fri May 24 09:58:50 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3276429) Waiting for packet dumper to finish... 1 (prev_count=3276429, count=3282145) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Fri May 24 09:58:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(541)@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_rr_suspend(541)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(541)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(541)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@9e4dd418fd54: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(539)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(538)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(540)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(538): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rr_suspend-RSL(539): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(540): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_rr_suspend(541): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Fri May 24 09:58:56 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2290239) Waiting for packet dumper to finish... 1 (prev_count=2290239, count=2290738) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Fri May 24 09:58:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: BTS has accept()ed connection MTC@9e4dd418fd54: BTS has close()d connection MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(543)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(542)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(542): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(543): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Fri May 24 09:59:01 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1877993) Waiting for packet dumper to finish... 1 (prev_count=1877993, count=1878492) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Fri May 24 09:59:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(546)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(545)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(545): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_reconnect-RSL(546): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Fri May 24 09:59:09 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2785976) Waiting for packet dumper to finish... 1 (prev_count=2785976, count=2786475) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Fri May 24 09:59:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(550)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(549): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Fri May 24 09:59:17 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4387348) Waiting for packet dumper to finish... 1 (prev_count=4387348, count=4387847) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Fri May 24 09:59:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(553)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(552): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(553): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Fri May 24 09:59:25 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3735791) Waiting for packet dumper to finish... 1 (prev_count=3735791, count=3740942) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Fri May 24 09:59:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(555)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(556)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(554): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(555): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(556): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Fri May 24 09:59:33 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4391474) Waiting for packet dumper to finish... 1 (prev_count=4391474, count=4391973) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Fri May 24 09:59:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(558)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(559)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(557): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(558): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(559): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Fri May 24 09:59:41 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3740629) Waiting for packet dumper to finish... 1 (prev_count=3740629, count=3741128) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Fri May 24 09:59:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(562)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(561): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(562): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Fri May 24 09:59:50 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5241771) Waiting for packet dumper to finish... 1 (prev_count=5241771, count=5247359) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Fri May 24 09:59:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@9e4dd418fd54: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(565)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(564): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(565): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Fri May 24 10:00:00 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5451631) Waiting for packet dumper to finish... 1 (prev_count=5451631, count=5457219) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Fri May 24 10:00:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(567)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(568)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(566)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(566): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(567): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(568): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Fri May 24 10:00:07 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2779176) Waiting for packet dumper to finish... 1 (prev_count=2779176, count=2779675) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Fri May 24 10:00:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(572)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(572)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(570)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(571)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(569): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(570): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(571): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(572): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Fri May 24 10:00:16 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3388689) Waiting for packet dumper to finish... 1 (prev_count=3388689, count=3389188) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Fri May 24 10:00:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(576)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(576)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(574)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(575)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(573): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(574): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(575): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_double_act(576): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Fri May 24 10:00:21 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1902956) Waiting for packet dumper to finish... 1 (prev_count=1902956, count=1903455) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Fri May 24 10:00:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_deact(580)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(580)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(580)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(578)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(577): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(578): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(580): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Fri May 24 10:00:30 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3276386) Waiting for packet dumper to finish... 1 (prev_count=3276386, count=3282102) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Fri May 24 10:00:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_tchf_act_nack(584)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(584)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(583)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(583): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(584): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Fri May 24 10:00:36 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1909711) Waiting for packet dumper to finish... 1 (prev_count=1909711, count=1910210) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Fri May 24 10:00:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 1, hsn := 0, maio := 1, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 1, hsn := 6, maio := 1, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 1, hsn := 0, maio := 0, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 1, hsn := 6, maio := 0, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@9e4dd418fd54: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 1, hsn := 0, maio := 3, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 2, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Checking timeslot #7 of trx#3: { tsc := 7, hopping := 1, hsn := 0, maio := 2, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(586)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(585)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(587)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(585): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(586): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(587): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Fri May 24 10:00:41 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1882586) Waiting for packet dumper to finish... 1 (prev_count=1882586, count=1883085) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Fri May 24 10:00:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(590)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL(589)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(588): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(589): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(590): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Fri May 24 10:00:47 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1899807) Waiting for packet dumper to finish... 1 (prev_count=1899807, count=1900306) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Fri May 24 10:00:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(592)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(591): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(592): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Fri May 24 10:00:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1888327) Waiting for packet dumper to finish... 1 (prev_count=1888327, count=1888826) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Fri May 24 10:00:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(595)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(596)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(594)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(594): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(595): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(596): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Fri May 24 10:00:58 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1903169) Waiting for packet dumper to finish... 1 (prev_count=1903169, count=1903668) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Fri May 24 10:01:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Warning: Re-starting timer T, which is already active (running or expired). MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(598)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(599)@9e4dd418fd54: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(597)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(597): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_pcu_interf_ind-RSL(598): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(599): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Fri May 24 10:01:09 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5750065) Waiting for packet dumper to finish... 1 (prev_count=5750065, count=5750564) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Fri May 24 10:01:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(603)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(603)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(601)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(602)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(601): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(602): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(603): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Fri May 24 10:01:14 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892560) Waiting for packet dumper to finish... 1 (prev_count=1892560, count=1893059) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Fri May 24 10:01:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(607)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(607)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(607)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(605)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(606)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(605): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(606): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(607): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Fri May 24 10:01:20 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1903484) Waiting for packet dumper to finish... 1 (prev_count=1903484, count=1909072) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Fri May 24 10:01:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(611)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(611)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(609)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(609): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(611): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Fri May 24 10:01:25 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1918323) Waiting for packet dumper to finish... 1 (prev_count=1918323, count=1923474) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Fri May 24 10:01:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(614)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(613): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(614): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(615): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Fri May 24 10:01:31 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1987456) Waiting for packet dumper to finish... 1 (prev_count=1987456, count=1990030) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Fri May 24 10:01:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(619)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(620)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(619)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(620)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(618)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(617): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(618): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(619): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(620): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Fri May 24 10:01:38 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2745785) Waiting for packet dumper to finish... 1 (prev_count=2745785, count=2746284) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Fri May 24 10:01:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(624)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(630)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(630)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(623)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(623): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(624): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(625): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(626): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(627): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(628): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(629): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(630): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(631): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Fri May 24 10:01:45 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2927282) Waiting for packet dumper to finish... 1 (prev_count=2927282, count=2927909) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Fri May 24 10:01:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act(635)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(635)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(633)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(634)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(633): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(634): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(635): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Fri May 24 10:01:51 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1913328) Waiting for packet dumper to finish... 1 (prev_count=1913328, count=1913827) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Fri May 24 10:01:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@9e4dd418fd54: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@9e4dd418fd54: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@9e4dd418fd54: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(638)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(638): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639): pass (none -> pass) MTC@9e4dd418fd54: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Fri May 24 10:01:57 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1915767) Waiting for packet dumper to finish... 1 (prev_count=1915767, count=1916266) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Fri May 24 10:02:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL(641)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(643)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_ind(643)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(644)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_ind(644)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"", new component reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" TC_rll_est_ind(644)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7242 MC@9e4dd418fd54: Test Component 644 has requested to stop MTC. Terminating current testcase execution. TC_rll_est_ind-RSL(641)@9e4dd418fd54: Final verdict of PTC: none TC_rll_est_ind(644)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" TC_rll_est_ind-RSL-IPA(640)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(642)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_ind-RSL-IPA(640): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_ind-RSL(641): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(642): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_ind(643): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_ind(644): fail (pass -> fail) reason: ""BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }"" MTC@9e4dd418fd54: Test case TC_rll_est_ind finished. Verdict: fail reason: "BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind fail'. Fri May 24 10:02:02 UTC 2024 ------ BTS_Tests.TC_rll_est_ind fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2082101) Waiting for packet dumper to finish... 1 (prev_count=2082101, count=2082600) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Fri May 24 10:02:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(648)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(649)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(649)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(649)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(649)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(649)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(650)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(650)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(650)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(650)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(650)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(651)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(651)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(651)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(651)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(651)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(646)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(647)@9e4dd418fd54: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(645)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(645): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(646): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(647): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3(648): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3(649): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3(650): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_DCCH_3(651): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Fri May 24 10:02:08 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2354813) Waiting for packet dumper to finish... 1 (prev_count=2354813, count=2355312) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Fri May 24 10:02:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(655)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(656)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(657)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(658)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(653)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(654)@9e4dd418fd54: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(652)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(652): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(653): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(654): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3(655): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3(656): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3(657): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_est_req_ACCH_3(658): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Fri May 24 10:02:16 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3434740) Waiting for packet dumper to finish... 1 (prev_count=3434740, count=3435239) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Fri May 24 10:02:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(662)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(663)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(664)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(665)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(660)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(661)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(659)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(659): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(660): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(661): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0(662): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0(663): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0(664): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_0(665): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Fri May 24 10:02:23 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3352474) Waiting for packet dumper to finish... 1 (prev_count=3352474, count=3352973) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Fri May 24 10:02:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(669)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(670)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(671)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(672)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(667)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(666)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(668)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(666): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(667): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(668): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3(669): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3(670): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3(671): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_DCCH_3(672): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Fri May 24 10:02:30 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3342415) Waiting for packet dumper to finish... 1 (prev_count=3342415, count=3348003) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Fri May 24 10:02:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(676)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(677)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(678)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 679 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_0-RSL(674)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(675)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(673)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0(679)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(673): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(674): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(675): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0(676): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0(677): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0(678): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_0(679): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail'. Fri May 24 10:03:01 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21750537) Waiting for packet dumper to finish... 1 (prev_count=21750537, count=21751036) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Fri May 24 10:03:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(683)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(684)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(685)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 686 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_3-RSL(681)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(682)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(680)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3(686)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(680): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(681): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(682): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3(683): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3(684): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3(685): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_ind_ACCH_3(686): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail'. Fri May 24 10:03:33 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21660043) Waiting for packet dumper to finish... 1 (prev_count=21660043, count=21660542) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Fri May 24 10:03:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(688)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(688)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(688)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(688)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(688)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(688)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(688)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(688)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(688)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(688)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(688)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(690)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(690)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(690)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(690)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(691)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(691)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(691)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(691)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(692)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(692)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(692)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(692)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(693)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(693)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(693)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(693)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(694)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(694)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(694)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(694)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(695)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(695)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(695)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(695)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(696)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(696)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(696)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(696)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(697)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(697)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(697)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(697)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(698)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(698)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(698)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(698)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(698)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(698)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(698)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(698)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(698)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(699)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(699)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(699)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(699)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(700)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(700)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(700)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(700)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(700)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(700)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(700)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(700)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(700)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(701)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(701)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(701)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(701)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(702)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(702)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(702)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(702)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(702)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(703)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(703)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(703)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(703)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(704)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(704)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(704)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(704)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(704)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(705)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(705)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(705)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(688)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(689)@9e4dd418fd54: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(687)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req-RSL-IPA(687): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req-RSL(688): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(689): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(690): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(691): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(692): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(693): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(694): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(695): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(696): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(697): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(698): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(699): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(700): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(701): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(702): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(703): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(704): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_rel_req(705): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_rel_req finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Fri May 24 10:04:00 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20676314) Waiting for packet dumper to finish... 1 (prev_count=20676314, count=20681902) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Fri May 24 10:04:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(709)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(710)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(711)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(711)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(711)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(711)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(711)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(712)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(713)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(713)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(713)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(713)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(713)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(714)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(714)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(714)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(714)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(714)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(715)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(715)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(715)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(715)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(715)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '0E86431DDB24CFA9CF703576ADBED8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(716)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(716)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '0E86431DDB24CFA9CF703576ADBED8'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(716)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(716)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(716)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(707)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(708)@9e4dd418fd54: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(706)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(706): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(707): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(709): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(710): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(711): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(712): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(713): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(714): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(715): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_DCCH(716): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Fri May 24 10:04:07 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2966562) Waiting for packet dumper to finish... 1 (prev_count=2966562, count=2967061) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Fri May 24 10:04:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(720)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(721)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(722)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(723)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(724)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(725)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(726)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '60F5DCE27482ABB4C694368AC5D44AC81335A4'O } } TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(727)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(718)@9e4dd418fd54: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(717)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(719)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(717): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(718): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(719): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(720): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(721): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(722): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(723): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(724): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(725): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(726): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_req_ACCH(727): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Fri May 24 10:04:16 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5132082) Waiting for packet dumper to finish... 1 (prev_count=5132082, count=5132709) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Fri May 24 10:04:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(731)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(731)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(731)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(731)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(732)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(732)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(732)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(732)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(733)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(733)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(733)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(733)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(734)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(734)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(734)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(734)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(735)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(735)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(735)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(735)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(736)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(736)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(736)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(736)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(737)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(737)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(737)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(737)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '924CBD13BF1EA29564073CAEED51E0AF5B755D7B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(738)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(738)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(738)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(738)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(729)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(730)@9e4dd418fd54: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(728)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(728): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(729): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(730): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(731): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(732): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(733): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(734): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(735): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(736): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(737): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_DCCH(738): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Fri May 24 10:04:23 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3394496) Waiting for packet dumper to finish... 1 (prev_count=3394496, count=3394995) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Fri May 24 10:04:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(742)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(742)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(742)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(742)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(743)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(743)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(743)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(743)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(744)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(744)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(744)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(744)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(745)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(745)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(745)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(745)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(746)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(746)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(746)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(746)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(747)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(747)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(747)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(747)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(748)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(748)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(748)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(748)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'D44D99167D2AB89E7A8A55B846B8C269A659'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(749)@9e4dd418fd54: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(749)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(749)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(749)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(740)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(741)@9e4dd418fd54: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(739)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(739): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(740): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(741): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(742): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(743): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(744): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(745): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(746): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(747): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(748): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rll_unit_data_ind_ACCH(749): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Fri May 24 10:04:38 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9905146) Waiting for packet dumper to finish... 1 (prev_count=9905146, count=9905645) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Fri May 24 10:04:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL(751)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL(751)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL(751)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(751)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(751)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(751)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(751)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(751)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(753)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a51(753)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(753)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a51(753)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(753)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(753)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(753)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(754)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a51(754)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a51(754)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(754)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(754)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(754)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(755)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a51(755)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a51(755)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(755)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(755)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(755)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(756)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a51(756)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a51(756)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(756)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(756)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(756)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(751)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(752)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(750)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51-RSL-IPA(750): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51-RSL(751): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(752): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51(753): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51(754): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51(755): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a51(756): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_a51 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Fri May 24 10:04:45 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3125149) Waiting for packet dumper to finish... 1 (prev_count=3125149, count=3130300) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Fri May 24 10:04:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(758)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL(758)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(758)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_a52-RSL(758)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(758)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(758)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL(758)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(758)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(758)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(758)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(758)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(760)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a52(760)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(760)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a52(760)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(760)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(760)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(760)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(761)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a52(761)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a52(761)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(761)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(761)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(761)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(762)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a52(762)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a52(762)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(762)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(762)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(762)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(763)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a52(763)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a52(763)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(763)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(763)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(763)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(758)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(759)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(757)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52-RSL-IPA(757): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52-RSL(758): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(759): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52(760): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52(761): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52(762): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a52(763): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_a52 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Fri May 24 10:04:52 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3131352) Waiting for packet dumper to finish... 1 (prev_count=3131352, count=3131851) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Fri May 24 10:04:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(765)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(765)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL(765)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(765)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(765)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(765)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL(765)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(765)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(765)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(765)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_a53-RSL(765)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(765)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(765)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(765)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL(765)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(765)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(767)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a53(767)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(767)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a53(767)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(767)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(767)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(767)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(768)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a53(768)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a53(768)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(768)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(768)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(768)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(769)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a53(769)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a53(769)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(769)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(769)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(769)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(770)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a53(770)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a53(770)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(770)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(770)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(770)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(765)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(766)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(764)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53-RSL-IPA(764): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53-RSL(765): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(766): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53(767): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53(768): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53(769): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a53(770): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_a53 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Fri May 24 10:04:59 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3127609) Waiting for packet dumper to finish... 1 (prev_count=3127609, count=3128108) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Fri May 24 10:05:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL(772)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(772)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(772)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_chan_act_a54-RSL(772)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(772)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(772)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_chan_act_a54-RSL(772)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(772)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(772)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(772)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(772)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(774)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a54(774)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(774)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a54(774)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(774)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(774)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(774)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(775)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a54(775)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a54(775)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(775)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(775)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(775)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(776)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a54(776)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a54(776)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(776)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(776)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(776)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(777)@9e4dd418fd54: setverdict(pass): none -> pass TC_chan_act_a54(777)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '7FC4BCFE7B8985B10FA49B750754C9B0440A8697'O, padding := ''O } } TC_chan_act_a54(777)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(777)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(777)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(777)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(772)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(773)@9e4dd418fd54: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(771)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54-RSL-IPA(771): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54-RSL(772): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(773): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54(774): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54(775): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54(776): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_chan_act_a54(777): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_chan_act_a54 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Fri May 24 10:05:06 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3127775) Waiting for packet dumper to finish... 1 (prev_count=3127775, count=3128366) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Fri May 24 10:05:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(781)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(782)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(783)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(784)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(779)@9e4dd418fd54: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(778)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(780)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(778): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51-RSL(779): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(780): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51(781): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51(782): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51(783): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a51(784): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Fri May 24 10:05:16 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5090354) Waiting for packet dumper to finish... 1 (prev_count=5090354, count=5090853) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Fri May 24 10:05:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(788)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(789)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(790)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(791)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(786)@9e4dd418fd54: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(785)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(787)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(785): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52-RSL(786): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(787): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52(788): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52(789): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52(790): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a52(791): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Fri May 24 10:05:25 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5084071) Waiting for packet dumper to finish... 1 (prev_count=5084071, count=5089350) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Fri May 24 10:05:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(795)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(796)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(797)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(798)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(793)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(794)@9e4dd418fd54: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(792)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(792): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53-RSL(793): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(794): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53(795): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53(796): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53(797): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a53(798): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Fri May 24 10:05:34 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5083220) Waiting for packet dumper to finish... 1 (prev_count=5083220, count=5083847) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Fri May 24 10:05:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(802)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(803)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(804)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): none -> pass TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'B0440A869702F9E4BFEFC0E29BAB28'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(805)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(800)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(801)@9e4dd418fd54: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(799)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(799): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54-RSL(800): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(801): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54(802): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54(803): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54(804): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_encr_cmd_a54(805): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Fri May 24 10:05:44 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5084171) Waiting for packet dumper to finish... 1 (prev_count=5084171, count=5084670) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Fri May 24 10:05:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(809)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(807)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(808)@9e4dd418fd54: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(806)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(806): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(807): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(808): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_mdisc(809): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Fri May 24 10:05:49 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885766) Waiting for packet dumper to finish... 1 (prev_count=1885766, count=1886265) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Fri May 24 10:05:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(813)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(814)@9e4dd418fd54: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(814)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(811)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(812)@9e4dd418fd54: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(810)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(810): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(811): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(812): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_msg_type(813): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_msg_type(814): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Fri May 24 10:05:55 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1910534) Waiting for packet dumper to finish... 1 (prev_count=1910534, count=1915685) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Fri May 24 10:05:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(818)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(816)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(817)@9e4dd418fd54: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(815)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(815): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(816): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(817): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_err_rep_wrong_sequence(818): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Fri May 24 10:06:00 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1888489) Waiting for packet dumper to finish... 1 (prev_count=1888489, count=1893640) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Fri May 24 10:06:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_lapdm_selftest started. MTC@9e4dd418fd54: "ui_s0_empty": matched MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "ui_s3_empty": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "sabm_s0_empty": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "sabm_s0_l3": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "rr_s0_7": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "I/0/0": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: "I/7/0": matched MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: No PTCs were created. MTC@9e4dd418fd54: Test case TC_lapdm_selftest finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Fri May 24 10:06:05 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=791532) Waiting for packet dumper to finish... 1 (prev_count=791532, count=986380) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Fri May 24 10:06:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(822)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(822)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(822)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(822)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(823)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(823)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(823)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(823)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(824)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(824)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(824)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(824)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(825)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(825)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(825)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(825)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(826)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(826)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(826)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(826)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_tch_sign_l2_fill_frame(827)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(827)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(827)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(827)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(828)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(828)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(828)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(828)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(829)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(829)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(829)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(829)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(830)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(830)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(830)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(830)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(831)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(831)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(831)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(831)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(832)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(832)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(832)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(832)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(833)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(833)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(833)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(833)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(834)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(834)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(834)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(834)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(835)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(835)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(835)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(835)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(836)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(836)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(836)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(836)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(837)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(837)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(837)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(837)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(838)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(838)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(838)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(838)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(839)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(839)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(839)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(839)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(840)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(840)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(840)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(840)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(841)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(841)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(841)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(841)@9e4dd418fd54: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(820)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(821)@9e4dd418fd54: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(819)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(819): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(820): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(821): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(822): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(823): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(824): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(825): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(826): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(827): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(828): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(829): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(830): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(831): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(832): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(833): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(834): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(835): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(836): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(837): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(838): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(839): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(840): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame(841): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Fri May 24 10:06:27 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15926315) Waiting for packet dumper to finish... 1 (prev_count=15926315, count=15926814) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Fri May 24 10:06:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(845)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(845)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(845)@9e4dd418fd54: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(846)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(846)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(846)@9e4dd418fd54: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(847)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(847)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(847)@9e4dd418fd54: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(848)@9e4dd418fd54: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(848)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(848)@9e4dd418fd54: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(843)@9e4dd418fd54: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(844)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(842): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(843): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(844): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(845): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(846): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(847): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@9e4dd418fd54: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(848): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@9e4dd418fd54: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Fri May 24 10:06:34 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2906517) Waiting for packet dumper to finish... 1 (prev_count=2906517, count=2907016) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Fri May 24 10:06:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chopped_ipa_ping started. 849@9e4dd418fd54: sending byte '00'O 849@9e4dd418fd54: sending byte '01'O 849@9e4dd418fd54: sending byte 'FE'O 849@9e4dd418fd54: sending byte '00'O 849@9e4dd418fd54: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 849@9e4dd418fd54: received pong from "172.18.225.20" port 37341: '0001FE01'O 849@9e4dd418fd54: setverdict(pass): none -> pass 849@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC with component reference 849: pass (none -> pass) MTC@9e4dd418fd54: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Fri May 24 10:07:03 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11823704) Waiting for packet dumper to finish... 1 (prev_count=11823704, count=11824203) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Fri May 24 10:07:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_chopped_ipa_payload started. 850@9e4dd418fd54: sending byte '04'O 850@9e4dd418fd54: sending byte '01'O 850@9e4dd418fd54: sending byte '08'O ("\b") 850@9e4dd418fd54: sending byte '01'O 850@9e4dd418fd54: sending byte '07'O ("\a") 850@9e4dd418fd54: sending byte '01'O 850@9e4dd418fd54: sending byte '02'O 850@9e4dd418fd54: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 850@9e4dd418fd54: received IPA message from "172.18.225.20" port 33623: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A65313A313400000202000001FE06'O 850@9e4dd418fd54: setverdict(pass): none -> pass 850@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC with component reference 850: pass (none -> pass) MTC@9e4dd418fd54: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Fri May 24 10:07:50 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20218349) Waiting for packet dumper to finish... 1 (prev_count=20218349, count=20224065) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Fri May 24 10:07:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(854)@9e4dd418fd54: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(855)@9e4dd418fd54: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(856)@9e4dd418fd54: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(857)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(852)@9e4dd418fd54: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(851)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(853)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(851): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(852): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(853): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant(854): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant(855): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant(856): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_constant(857): pass (fail -> fail) MTC@9e4dd418fd54: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Fri May 24 10:08:11 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15555339) Waiting for packet dumper to finish... 1 (prev_count=15555339, count=15555838) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Fri May 24 10:08:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(861)@9e4dd418fd54: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(862)@9e4dd418fd54: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(863)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(864)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(859)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(860)@9e4dd418fd54: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(858): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(859): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(860): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(861): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(862): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(863): pass (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(864): pass (fail -> fail) MTC@9e4dd418fd54: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Fri May 24 10:08:47 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28829465) Waiting for packet dumper to finish... 1 (prev_count=28829465, count=28830092) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Fri May 24 10:08:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(868)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(868)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(868)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(868)@9e4dd418fd54: Final verdict of PTC: pass TC_speech_no_rtp_tchf(869)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(869)@9e4dd418fd54: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(869)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(869)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(869)@9e4dd418fd54: Final verdict of PTC: pass TC_speech_no_rtp_tchf(870)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(870)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(870)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for TRAFFIC.ind", new component reason: "Timeout waiting for TRAFFIC.ind" TC_speech_no_rtp_tchf(870)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for TRAFFIC.ind" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(866)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(867)@9e4dd418fd54: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(865)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(865): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(866): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(867): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchf(868): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchf(869): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchf(870): fail (pass -> fail) reason: "Timeout waiting for TRAFFIC.ind" MTC@9e4dd418fd54: Test case TC_speech_no_rtp_tchf finished. Verdict: fail reason: Timeout waiting for TRAFFIC.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf fail'. Fri May 24 10:09:07 UTC 2024 ------ BTS_Tests.TC_speech_no_rtp_tchf fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19048604) Waiting for packet dumper to finish... 1 (prev_count=19048604, count=19049103) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Fri May 24 10:09:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(874)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(874)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(874)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(875)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(875)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(875)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for TRAFFIC.ind", new component reason: "Timeout waiting for TRAFFIC.ind" TC_speech_no_rtp_tchh(875)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for TRAFFIC.ind" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(872)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(873)@9e4dd418fd54: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(871)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(871): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(872): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(873): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchh(874): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_no_rtp_tchh(875): fail (pass -> fail) reason: "Timeout waiting for TRAFFIC.ind" MTC@9e4dd418fd54: Test case TC_speech_no_rtp_tchh finished. Verdict: fail reason: Timeout waiting for TRAFFIC.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh fail'. Fri May 24 10:09:25 UTC 2024 ------ BTS_Tests.TC_speech_no_rtp_tchh fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12106509) Waiting for packet dumper to finish... 1 (prev_count=12106509, count=12111660) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Fri May 24 10:09:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(879)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(879)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_rtp_tchf(879)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(879)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(879)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(879)@9e4dd418fd54: TCH received (len=33): 'D07FC4BCFE7B89FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(879)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(879)@9e4dd418fd54: TCH received (len=33): 'D07FC4BCFE7B89FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(879)@9e4dd418fd54: TCH received (len=33): 'D07FC4BCFE7B89FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(879)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(879)@9e4dd418fd54: Final verdict of PTC: pass TC_speech_rtp_tchf(881)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(881)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_rtp_tchf(881)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(881)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(881)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(881)@9e4dd418fd54: TCH received (len=31): 'C07FC4BCFE7B89000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(881)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(881)@9e4dd418fd54: TCH received (len=31): 'C07FC4BCFE7B89000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(881)@9e4dd418fd54: TCH received (len=31): 'C07FC4BCFE7B89000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(881)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(881)@9e4dd418fd54: Final verdict of PTC: pass TC_speech_rtp_tchf(883)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(883)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_rtp_tchf(883)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(883)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(883)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(883)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchf(883)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(883)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchf(883)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchf(883)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(883)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL-IPA(876)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchf-RSL(877)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(882)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(878)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(884)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(880)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(876): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf-RSL(877): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(878): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf(879): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(880): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf(881): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(882): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf(883): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(884): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Fri May 24 10:09:37 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9439299) Waiting for packet dumper to finish... 1 (prev_count=9439299, count=9439798) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Fri May 24 10:09:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(888)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(888)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_rtp_tchh(888)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(888)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(888)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(888)@9e4dd418fd54: TCH received (len=15): '007FC4BCFE7B890000000000000000'O TC_speech_rtp_tchh(888)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(888)@9e4dd418fd54: TCH received (len=15): '007FC4BCFE7B890000000000000000'O TC_speech_rtp_tchh(888)@9e4dd418fd54: TCH received (len=15): '007FC4BCFE7B890000000000000000'O TC_speech_rtp_tchh(888)@9e4dd418fd54: TCH received (len=15): '007FC4BCFE7B890000000000000000'O TC_speech_rtp_tchh(888)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(888)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(890)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(890)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_rtp_tchh(890)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(890)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(890)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(890)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchh(890)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(890)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchh(890)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchh(890)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_rtp_tchh(890)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(890)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(886)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(885)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(889)@9e4dd418fd54: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(891)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(887)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(885): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh-RSL(886): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(887): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh(888): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(889): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh(890): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(891): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Fri May 24 10:09:46 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5487614) Waiting for packet dumper to finish... 1 (prev_count=5487614, count=5488113) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Fri May 24 10:09:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(895)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_osmux_tchf(895)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(895)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(895)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: got '7FC4BCFE7B89000000000000000000'O vs exp '7FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchf(895)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(895)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(893)@9e4dd418fd54: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(892)@9e4dd418fd54: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(896)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(894)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(892): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchf-RSL(893): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(894): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchf(895): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(896): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Fri May 24 10:09:54 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4474113) Waiting for packet dumper to finish... 1 (prev_count=4474113, count=4474612) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Fri May 24 10:09:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_osmux_tchh(900)@9e4dd418fd54: setverdict(pass): none -> pass TC_speech_osmux_tchh(900)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(900)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(900)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: TCH received (len=17): '20147FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: got '7FC4BCFE7B89000000000000000000'O vs exp '7FC4BCFE7B89000000000000000000'O TC_speech_osmux_tchh(900)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(900)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(898)@9e4dd418fd54: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(901)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(899)@9e4dd418fd54: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(897)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(897): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchh-RSL(898): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(899): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchh(900): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(901): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Fri May 24 10:10:02 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3726668) Waiting for packet dumper to finish... 1 (prev_count=3726668, count=3727167) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Fri May 24 10:10:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(905)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(905)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf144(905)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(906)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(905)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(905)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@9e4dd418fd54: Test Component 905 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(903)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf144(905)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(904)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf144-RSL-IPA(902)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(906)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(902): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf144-RSL(903): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(904): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf144(905): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(906): none (fail -> fail) MTC@9e4dd418fd54: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Fri May 24 10:10:08 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1967485) Waiting for packet dumper to finish... 1 (prev_count=1967485, count=1967984) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Fri May 24 10:10:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(910)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(910)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf96(910)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(911)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(910)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(910)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(910)@9e4dd418fd54: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010101000000000000000101010000010000000000000000000000000000000001000001000000000000010100010000000001000001010100000000000101010000000000000000000101000100000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000010100000100000000000101010000000000000101010101010100000000000000000000000000000000000000000000000001010000000100000000010001010101000100000000010000010001010000010101000000010000000100010001010001000000000101010001000001010001010001000000010000000000000000000000010000010100010001010001010101000001000101000000010001000001010101000000000000010101000000010000000001010101000000000001010000000100000000000001010100010100010101010000000100000001000001010001000101000101010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101010000010000010000010100010101010000000100010100000001000000010001010001010101000100000100000100000000000100000101010000000101000100010100000001010101010101010101000101010000000101000001010001010100000001000101000100000000000000000101010100000000000000000000010001000101000101010100010101010000000000000000010001010101000000010100000001000101000001000001010100010100010101000000010100010001010001010101000001000100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000100000101000100010100010100010001000101010101000101010000000101000001010001000101000000010101010100000100000101010100000101010000010101010101000100000101010000000101000100000000010100010100010100000000010000010100010100000100010101000000010000000100000000010000010101000101000100000100000101010000010101010000000101000100000100000101000000010000010001010101000000000000000001000101000001000001010100010100010101010100000000000101010000010101010100000001010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101000101000001010100000001000101010100000001000001000000000001010100000001010000000000000100010100010101010100010101000000010000010000000001010101010101010101010101000001000101010101000100000101010101000000010100010000000000000000000101010100000001000001000100000101010000000101000100000001000101000000010000010001010101000000000000000001000101000001000001010100010100010000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000010001000100010101000101000100010000000101000000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010000010101000000010101000101010000000101000001010001000101000101000101010001010000000101010100000000000100010100010100000000010100000001000000010100000100010001000100010000010000010001000000010101010000010100010001000101000100010000000000000000000101010100000001010101010100010000010000010101010100000001000101000000010000010001010101000000010001000100010001000001000000010101000000010000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '010100010001000100010000000101000100010101000101010101000000010101000101010000000101000001010001000100010001000100010100010100010101000000010000010100000100000101000100000101010000000101010000010101000000010101010101000000010101000100010000000100010101000000010000000100000000010100010101000101000100010001000101000000000000000000000000000000000001000100010101000000010101010000000100000100000100000000000000000000000000000000010000000000000000000001010101010100000001000100000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000101010101000000010000010000010000010000010101000101010000000100000000000000000000000000000000000000000000000000000000000000000100010100010001010101000000010100010100010101010100000001010100000001000000010101000100010001000101010100000001010001010000000000000000000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101010000000100000101000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000101010000000100000101010000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(910)@9e4dd418fd54: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(910)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(911)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(910)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(910)@9e4dd418fd54: Final verdict of PTC: pass TC_data_rtp_tchf96(912)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(912)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf96(912)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(913)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(912)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(912)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(912)@9e4dd418fd54: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010101000000000000000101010000010000000000000000000000000000000001000001000000000000010100010000000001000001010100000000000101010000000000000000000101000100000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000010100000100000000000101010000000000000101010101010100000000000000000000000000000000000000000000000001010000000100000000010001010101000100000000010000010001010000010101000000010000000100010001010001000000000101010001000001010001010001000000010000000000000000000000010000010100010001010001010101000001000101000000010001000001010101000000000000010101000000010000000001010101000000000001010000000100000000000001010100010100010101010000000100000001000001010001000101000101010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101010000010000010000010100010101010000000100010100000001000000010001010001010101000100000100000100000000000100000101010000000101000100010100000001010101010101010101000101010000000101000001010001010100000001000101000100000000000000000101010100000000000000000000010001000101000101010100010101010000000000000000010001010101000000010100000001000101000001000001010100010100010101000000010100010001010001010101000001000100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000100000101000100010100010100010001000101010101000101010000000101000001010001000101000000010101010100000100000101010100000101010000010101010101000100000101010000000101000100000000010100010100010100000000010000010100010100000100010101000000010000000100000000010000010101000101000100000100000101010000010101010000000101000100000100000101000000010000010001010101000000000000000001000101000001000001010100010100010101010100000000000101010000010101010100000001010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000101010000000100000001010100010001000101000101000001010100000001000101010100000001000001000000000001010100000001010000000000000100010100010101010100010101000000010000010000000001010101010101010101010101000001000101010101000100000101010101000000010100010000000000000000000101010100000001000001000100000101010000000101000100000001000101000000010000010001010101000000000000000001000101000001000001010100010100010000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000000101000101000000010101000000010101010101000000000000000000000101010000010101010100000100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010001000001000000010101000101010000000101000001010000000100000101010101000001010100000001010101000100010101010000000101000100000101010000000101010000010101010101010101010101010101000001000101010101000100000001010001000101000100010000000000000000000101010001000100000101010000010101000000010101010100010100000101000000010000010001010101000000010001000100010101000101000100010000000101000000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010000010100000100000000010101010100000101010000010101000000010101000101010000000101000001010001000101000101000101010001010000000101010100000000000100010100010100000000010100000001000000010100000100010001000100010000010000010001000000010101010000010100010001000101000100010000000000000000000101010100000001010101010100010000010000010101010100000001000101000000010000010001010101000000010001000100010001000001000000010101000000010000000000000000000101010000010100010001010100010000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010100010001000100010000000101000100010101000101010101000000010101000101010000000101000001010001000100010001000100010100010100010101000000010000010100000100000101000100000101010000000101010000010101000000010101010101000000010101000100010000000100010101000000010000000100000000010100010101000101000100010001000101000000000000000000000000000000000001000100010101000000010101010000000100000100000100000000000000000000000000000000010000000000000000000001010101010100000001000100000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000101010101000000010000010000010000010000010101000101010000000100000000000000000000000000000000000000000000000000000000000000000100010100010001010101000000010100010100010101010100000001010100000001000000010101000100010001000101010100000001010001010000000000000000000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000101010000000100000101000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000101010000000100000101010000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(912)@9e4dd418fd54: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(912)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(913)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(912)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(912)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(908)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(913)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(911)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(909)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(907)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(907): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96-RSL(908): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(909): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96(910): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(911): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96(912): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(913): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Fri May 24 10:10:14 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2889287) Waiting for packet dumper to finish... 1 (prev_count=2889287, count=2889786) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Fri May 24 10:10:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(917)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(917)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf48(917)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(918)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(917)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(917)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(917)@9e4dd418fd54: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000101010000000000000000000000000000000000000100000001000100000000010000000000010101010000000000000000010100000001000100000100010000010000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '010100010000010100010100000100000000000000000000000000000001010100000100010000000101000101010100010101000101000001000000000000000000000100010000010100000000000001000101010101000000010000010101000100000101000100000100010000000001010000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '010100010000010001000000000001010001000001000001000100010000000100000000010001000001010101010000010100010000000100000000000001000100010100010000010000000000000100010101010000010001000001000100010001000000000000000001010101000101010100000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000010001000100010000000101000001000100000000000000000000000100000000010000000101010101010100000100000001000000000000000001000100010001010101000000010001010100010101010000010101000001000100010001000000000100000001000100000001010000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000010001000000010100000100000001010001010000000100010001000100000000000001000100000000000001000101000101000001000000000001010001010001000000010000000100000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000000000001010000010100010000000100000101000001000100010000000000000001010101000100000001000101000100000101000101000101000001000100000000010100000100010000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000100010100010100000100010000000000000001000100010001000100000001000101000000000001010000010100010100000001000000010000000101000101010001010000010000000001010101000101000100000101000101000101000001000100010001010000000000000000000100'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000010000010001000001000001000100000000000000000000000000000000000001000100010001010100000100010000000101010000010001000001000000000101010000010000000000000000000000000001000000000000000000000000000000010001000001000100000001000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000010000000100000000000000000000000000000000000001000100010001010100010100010100000001000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(917)@9e4dd418fd54: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(917)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(918)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(917)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(917)@9e4dd418fd54: Final verdict of PTC: pass TC_data_rtp_tchf48(919)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(919)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf48(919)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(920)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(919)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(919)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(919)@9e4dd418fd54: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000101010000000000000000000000000000000000000100000001000100000000010000000000010101010000000000000000010100000001000100000100010000010000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '010100010000010100010100000100000000000000000000000000000001010100000100010000000101000101010100010101000101000001000000000000000000000100010000010100000000000001000101010101000000010000010101000100000101000100000100010000000001010000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '010100010000010001000000000001010001000001000001000100010000000100000000010001000001010101010000010100010000000100000000000001000100010100010000010000000000000100010101010000010001000001000100010001000000000000000001010101000101010100000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000010001000100010000000101000001000100000000000000000000000100000000010000000101010101010100000100000001000000000000000001000100010001010101000000010001010100010101010000010101000001000100010001000000000100000001000100000001010000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000010001000000010100000100000001010001010000000100010001000100000000000001000100000000000001000101000101000001000000000001010001010001000000010000000100000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000101010101010100000100000001000000000100000000000000000000010001000100000001000101000101010101000001000001000100010001000000000000010000000100000001010000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000100000101010100010001000001000100000000000000000000000100000000010000000000000001010000010100010000000100000101000001000100010000000000000001010101000100000001000101000100000101000101000101000001000100000000010100000100010000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000100010100010100000100010000000000000001000100010001000100000001000101000000000001010000010100010100000001000000010000000101000101010001010000010000000001010101000101000100000101000101000101000001000100010001010000000000000000000100'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000010000010001000001000001000100000000000000000000000000000000000001000100010001010100000100010000000101010000010001000001000000000101010000010000000000000000000000000001000000000000000000000000000000010001000001000100000001000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000010000000100000000000000000000000000000000000001000100010001010100010100010100000001000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(919)@9e4dd418fd54: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(919)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(920)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(919)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(919)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(915)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(916)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(914)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(918)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(920)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(914): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48-RSL(915): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(916): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48(917): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(918): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48(919): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(920): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Fri May 24 10:10:21 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2879462) Waiting for packet dumper to finish... 1 (prev_count=2879462, count=2879961) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Fri May 24 10:10:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(924)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(924)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchh48(924)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(925)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(924)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(924)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(924)@9e4dd418fd54: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(924)@9e4dd418fd54: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(924)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(925)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(924)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(924)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(926)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(926)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchh48(926)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(927)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(926)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(926)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(926)@9e4dd418fd54: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(926)@9e4dd418fd54: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(926)@9e4dd418fd54: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(926)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(927)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(926)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(926)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(922)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(925)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(927)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(923)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(921)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(921): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48-RSL(922): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(923): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48(924): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(925): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48(926): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(927): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Fri May 24 10:10:27 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2811060) Waiting for packet dumper to finish... 1 (prev_count=2811060, count=2811559) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Fri May 24 10:10:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(931)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(931)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf24(931)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(932)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(931)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(931)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(931)@9e4dd418fd54: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(931)@9e4dd418fd54: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(931)@9e4dd418fd54: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(931)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(932)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(931)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(931)@9e4dd418fd54: Final verdict of PTC: pass TC_data_rtp_tchf24(933)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(933)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchf24(933)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(934)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(933)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(933)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(933)@9e4dd418fd54: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(933)@9e4dd418fd54: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: FACCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchf24(933)@9e4dd418fd54: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(933)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(934)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(933)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(933)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(929)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(932)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(930)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(934)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(928)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(928): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24-RSL(929): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(930): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24(931): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(932): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24(933): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(934): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Fri May 24 10:10:33 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2498897) Waiting for packet dumper to finish... 1 (prev_count=2498897, count=2499396) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Fri May 24 10:10:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(938)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(938)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchh24(938)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(939)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(938)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(938)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(938)@9e4dd418fd54: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(938)@9e4dd418fd54: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(938)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(939)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(938)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(938)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(940)@9e4dd418fd54: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(940)@9e4dd418fd54: setverdict(pass): none -> pass TC_data_rtp_tchh24(940)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(941)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(940)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(940)@9e4dd418fd54: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(940)@9e4dd418fd54: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(940)@9e4dd418fd54: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(940)@9e4dd418fd54: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(940)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(941)@9e4dd418fd54: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(940)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(940)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(936)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(941)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(935)@9e4dd418fd54: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(939)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(937)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(935): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24-RSL(936): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(937): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24(938): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(939): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24(940): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(941): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Fri May 24 10:10:40 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2795817) Waiting for packet dumper to finish... 1 (prev_count=2795817, count=2801405) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Fri May 24 10:10:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(945)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(945)@9e4dd418fd54: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(945)@9e4dd418fd54: Received IMM.ASS for our RACH! TC_early_immediate_assignment(945)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(945)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(945)@9e4dd418fd54: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(946)@9e4dd418fd54: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(946)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately", new component reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" MC@9e4dd418fd54: Test Component 946 has requested to stop MTC. Terminating current testcase execution. TC_early_immediate_assignment-RSL(943)@9e4dd418fd54: Final verdict of PTC: none TC_early_immediate_assignment(946)@9e4dd418fd54: Final verdict of PTC: fail reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" TC_early_immediate_assignment-RSL-IPA(942)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(942): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_early_immediate_assignment-RSL(943): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_early_immediate_assignment(945): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@9e4dd418fd54: Local verdict of PTC TC_early_immediate_assignment(946): fail (pass -> fail) reason: "Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately" MTC@9e4dd418fd54: Test case TC_early_immediate_assignment finished. Verdict: fail reason: Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment fail'. Fri May 24 10:10:47 UTC 2024 ------ BTS_Tests.TC_early_immediate_assignment fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3159529) Waiting for packet dumper to finish... 1 (prev_count=3159529, count=3160028) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Fri May 24 10:10:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@9e4dd418fd54: Test Component 950 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh-RSL(948)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh(950)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_rxqual_thresh-RSL-IPA(947)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(949)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(947): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(948): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(949): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh(950): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@9e4dd418fd54: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail'. Fri May 24 10:11:01 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6985169) Waiting for packet dumper to finish... 1 (prev_count=6985169, count=6985668) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Fri May 24 10:11:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@9e4dd418fd54: Test Component 954 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh_dtx-RSL(952)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx(954)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(953)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(951): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(952): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(953): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(954): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@9e4dd418fd54: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail'. Fri May 24 10:11:16 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6905423) Waiting for packet dumper to finish... 1 (prev_count=6905423, count=6905922) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Fri May 24 10:11:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@9e4dd418fd54: Test Component 958 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_facch-RSL(956)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_always_on_facch(958)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(957)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(955)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(955): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(956): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(957): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_facch(958): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@9e4dd418fd54: Test case TC_acch_overpower_always_on_facch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail'. Fri May 24 10:11:31 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_facch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6922695) Waiting for packet dumper to finish... 1 (prev_count=6922695, count=6923194) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Fri May 24 10:11:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@9e4dd418fd54: Test Component 962 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_sacch-RSL(960)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(961)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_always_on_sacch(962)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_always_on_sacch-RSL-IPA(959)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(959): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(960): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(961): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_always_on_sacch(962): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@9e4dd418fd54: Test case TC_acch_overpower_always_on_sacch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail'. Fri May 24 10:11:45 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_sacch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6912933) Waiting for packet dumper to finish... 1 (prev_count=6912933, count=6918084) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Fri May 24 10:11:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(966)@9e4dd418fd54: RxLev(BCCH) := 30 TC_acch_overpower_limit(966)@9e4dd418fd54: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(966)@9e4dd418fd54: setverdict(pass): none -> pass TC_acch_overpower_limit(966)@9e4dd418fd54: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(966)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@9e4dd418fd54: Test Component 966 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_limit-RSL(964)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(963)@9e4dd418fd54: Final verdict of PTC: none TC_acch_overpower_limit(966)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(965)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(963): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_limit-RSL(964): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(965): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_acch_overpower_limit(966): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@9e4dd418fd54: Test case TC_acch_overpower_limit finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail'. Fri May 24 10:12:00 UTC 2024 ------ BTS_Tests.TC_acch_overpower_limit fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6912160) Waiting for packet dumper to finish... 1 (prev_count=6912160, count=6917876) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail' was executed successfully (exit status: 0). MC@9e4dd418fd54: Test execution finished. MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Fri May 24 10:12:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(968)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(969)@9e4dd418fd54: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(967)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(967): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(968): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(969): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Fri May 24 10:12:15 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6409536) Waiting for packet dumper to finish... 1 (prev_count=6409536, count=6410035) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Fri May 24 10:12:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(971)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(972)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(970): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(971): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(972): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Fri May 24 10:12:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10195744) Waiting for packet dumper to finish... 1 (prev_count=10195744, count=10196243) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Fri May 24 10:12:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(974)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(975)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(973): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(974): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(975): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Fri May 24 10:12:53 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10207491) Waiting for packet dumper to finish... 1 (prev_count=10207491, count=10207990) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Fri May 24 10:12:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(977)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(978)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(976): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(977): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(978): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Fri May 24 10:13:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10148670) Waiting for packet dumper to finish... 1 (prev_count=10148670, count=10149169) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Fri May 24 10:13:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(980)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(981)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(979): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(980): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(981): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Fri May 24 10:13:30 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10209166) Waiting for packet dumper to finish... 1 (prev_count=10209166, count=10214754) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Fri May 24 10:13:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(983)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(984)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(982): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(983): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(984): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Fri May 24 10:13:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15690397) Waiting for packet dumper to finish... 1 (prev_count=15690397, count=15695548) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Fri May 24 10:13:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(986)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(987)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(985): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(986): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(987): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Fri May 24 10:14:13 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10207160) Waiting for packet dumper to finish... 1 (prev_count=10207160, count=10207659) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Fri May 24 10:14:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(989)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(990)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(988): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(989): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(990): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Fri May 24 10:14:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12024074) Waiting for packet dumper to finish... 1 (prev_count=12024074, count=12024573) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Fri May 24 10:14:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(991): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(992): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Fri May 24 10:14:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12937591) Waiting for packet dumper to finish... 1 (prev_count=12937591, count=12938090) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Fri May 24 10:14:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(996)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(994): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(995): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(996): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Fri May 24 10:15:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21160314) Waiting for packet dumper to finish... 1 (prev_count=21160314, count=21160813) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Fri May 24 10:15:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(998)@9e4dd418fd54: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(997)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(999)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(997): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(998): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(999): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Fri May 24 10:15:47 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7519806) Waiting for packet dumper to finish... 1 (prev_count=7519806, count=7520305) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Fri May 24 10:15:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1001)@9e4dd418fd54: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1000)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1002)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1000): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1001): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Fri May 24 10:16:09 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8560167) Waiting for packet dumper to finish... 1 (prev_count=8560167, count=8560666) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Fri May 24 10:16:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_1block started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: Established a new IPA connection (conn_id=4) MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1004)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1003): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1004): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Fri May 24 10:16:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8444284) Waiting for packet dumper to finish... 1 (prev_count=8444284, count=8444783) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Fri May 24 10:16:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_2block started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1007)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1008)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1006): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1007): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1008): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Fri May 24 10:16:46 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8427344) Waiting for packet dumper to finish... 1 (prev_count=8427344, count=8427843) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Fri May 24 10:16:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_3block started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1010)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1011)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1009): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1010): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1011): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Fri May 24 10:17:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8472316) Waiting for packet dumper to finish... 1 (prev_count=8472316, count=8477467) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Fri May 24 10:17:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_4block started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1013)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1014)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1012): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1013): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1014): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Fri May 24 10:17:24 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8448777) Waiting for packet dumper to finish... 1 (prev_count=8448777, count=8449276) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Fri May 24 10:17:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_multi started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 1 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 2 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1016)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1017)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1015): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1016): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1017): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Fri May 24 10:17:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12587363) Waiting for packet dumper to finish... 1 (prev_count=12587363, count=12587862) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Fri May 24 10:17:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_schedule started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@9e4dd418fd54: Found block_nr 3 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 22, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1019)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1020)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1018): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1019): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1020): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Fri May 24 10:18:07 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8463453) Waiting for packet dumper to finish... 1 (prev_count=8463453, count=8463952) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Fri May 24 10:18:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1022)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1023)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1021): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1022): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1023): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Fri May 24 10:18:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12044983) Waiting for packet dumper to finish... 1 (prev_count=12044983, count=12045482) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Fri May 24 10:18:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@9e4dd418fd54: Found block_nr 0 of msg 0 MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@9e4dd418fd54: Found block_nr 1 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@9e4dd418fd54: Found block_nr 2 of msg 0 MTC@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 2 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 3 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@9e4dd418fd54: Found block_nr 0 of DEFAULT/NULL MTC@9e4dd418fd54: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@9e4dd418fd54: Found block_nr 1 of DEFAULT/NULL MTC@9e4dd418fd54: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025)@9e4dd418fd54: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1026)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1024): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1025): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1026): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Fri May 24 10:18:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10538508) Waiting for packet dumper to finish... 1 (prev_count=10538508, count=10539444) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Fri May 24 10:18:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1028)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1029)@9e4dd418fd54: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1027)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1027): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1028): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1029): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Fri May 24 10:19:10 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7525935) Waiting for packet dumper to finish... 1 (prev_count=7525935, count=7531523) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Fri May 24 10:19:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1031)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1032)@9e4dd418fd54: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1030)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1030): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1031): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1032): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Fri May 24 10:19:32 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8600797) Waiting for packet dumper to finish... 1 (prev_count=8600797, count=8601296) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Fri May 24 10:19:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1034)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Quantity of received ETWS PN segments: { 21, 21, 21, 21 } MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1034)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1035)@9e4dd418fd54: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1033)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1033): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_etws_p1ro-RSL(1034): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1035): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_etws_p1ro finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Fri May 24 10:19:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12015311) Waiting for packet dumper to finish... 1 (prev_count=12015311, count=12015810) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Fri May 24 10:19:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1037)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1038)@9e4dd418fd54: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1036)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1036): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_etws_p1ro_end-RSL(1037): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1038): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Fri May 24 10:20:10 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15649144) Waiting for packet dumper to finish... 1 (prev_count=15649144, count=15654732) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Fri May 24 10:20:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL(1040)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1040)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1040)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1040)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1040)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_etws_pcu-RSL(1040)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1040)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL(1040)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1040)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1040)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL(1040)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1040)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1040)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1040)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1040)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1040)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 IPA-CTRL-CLI-IPA(1041)@9e4dd418fd54: Final verdict of PTC: none TC_etws_pcu-RSL(1040)@9e4dd418fd54: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1039)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: pass MTC@9e4dd418fd54: Local verdict of PTC TC_etws_pcu-RSL-IPA(1039): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_etws_pcu-RSL(1040): none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1041): none (pass -> pass) MTC@9e4dd418fd54: Test case TC_etws_pcu finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Fri May 24 10:20:15 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1997476) Waiting for packet dumper to finish... 1 (prev_count=1997476, count=2002627) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@9e4dd418fd54: Test execution finished. MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Fri May 24 10:20:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1046@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1045)@9e4dd418fd54: setverdict(pass): none -> pass 1046@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1046@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1046@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1046@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1045)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1046@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1045)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1046@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1045)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1047)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1048@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1047)@9e4dd418fd54: setverdict(pass): none -> pass 1048@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1048@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1048@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1048@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1047)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1048@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1047)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1048@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1047)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1050@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1049)@9e4dd418fd54: setverdict(pass): none -> pass 1050@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1050@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1050@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1050@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1049)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1050@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1049)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1050@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1049)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1051)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1052@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1051)@9e4dd418fd54: setverdict(pass): none -> pass 1052@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1052@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1052@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1052@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1051)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1052@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1051)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1052@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1051)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1043)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1044)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1042)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1042): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1043): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1044): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1045): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1046: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1047): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1048: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1049): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1050: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1051): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1052: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Fri May 24 10:20:23 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3305928) Waiting for packet dumper to finish... 1 (prev_count=3305928, count=3306427) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Fri May 24 10:20:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1057@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1056)@9e4dd418fd54: setverdict(pass): none -> pass 1057@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1057@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1057@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1057@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1056)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1057@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1057@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1056)@9e4dd418fd54: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1058)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1059@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1058)@9e4dd418fd54: setverdict(pass): none -> pass 1059@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1059@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1059@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1059@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1058)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1059@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1059@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1058)@9e4dd418fd54: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1061@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1060)@9e4dd418fd54: setverdict(pass): none -> pass 1061@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1061@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1061@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1061@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1060)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1061@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1061@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1060)@9e4dd418fd54: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1062)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1063@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1062)@9e4dd418fd54: setverdict(pass): none -> pass 1063@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1063@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1063@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1063@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1062)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without", new component reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" 1063@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1063@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1062)@9e4dd418fd54: Final verdict of PTC: fail reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1055)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1053): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1054): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1055): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1056): fail (none -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1057: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1058): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1059: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1060): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1061: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1062): fail (fail -> fail) reason: "Initial SABM/UA must contain L3 payload but BTS accepts without" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1063: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: fail reason: Initial SABM/UA must contain L3 payload but BTS accepts without MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail'. Fri May 24 10:20:30 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3308628) Waiting for packet dumper to finish... 1 (prev_count=3308628, count=3314216) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Fri May 24 10:20:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1068@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1067)@9e4dd418fd54: setverdict(pass): none -> pass 1068@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1068@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1068@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1068@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1067)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1068@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1067)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1068@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1067)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1069)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1070@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1069)@9e4dd418fd54: setverdict(pass): none -> pass 1070@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1070@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1070@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1070@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1069)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1070@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1069)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1070@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1069)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1072@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1071)@9e4dd418fd54: setverdict(pass): none -> pass 1072@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1072@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1072@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1072@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1071)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1072@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1071)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1072@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1071)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1073)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1074@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1073)@9e4dd418fd54: setverdict(pass): none -> pass 1074@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1074@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1074@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1074@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1073)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1074@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1073)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1074@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1073)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1065)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1064)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1066)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1064): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1065): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1066): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1067): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1068: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1069): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1070: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1071): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1072: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1073): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1074: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Fri May 24 10:20:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20197353) Waiting for packet dumper to finish... 1 (prev_count=20197353, count=20197852) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Fri May 24 10:20:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1079@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1078)@9e4dd418fd54: setverdict(pass): none -> pass 1079@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1079@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1079@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1079@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1078)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1079@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1078)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1079@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1078)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1080)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1081@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1080)@9e4dd418fd54: setverdict(pass): none -> pass 1081@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1081@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1081@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1081@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1080)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1081@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1080)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1081@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1080)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1083@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1082)@9e4dd418fd54: setverdict(pass): none -> pass 1083@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1083@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1083@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1083@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1082)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1083@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1082)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1083@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1082)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1084)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1085@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1084)@9e4dd418fd54: setverdict(pass): none -> pass 1085@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1085@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1085@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1085@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1084)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1085@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1084)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1085@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1084)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1076)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1077)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1075)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1075): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1076): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1077): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1078): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1079: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1080): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1081: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1082): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1083: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1084): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1085: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Fri May 24 10:21:21 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20205805) Waiting for packet dumper to finish... 1 (prev_count=20205805, count=20206304) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Fri May 24 10:21:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1087)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1087)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1087)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1087)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1087)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1087)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1087)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1087)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1087)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1087)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1090@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1089)@9e4dd418fd54: setverdict(pass): none -> pass 1090@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1090@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1090@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1090@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1089)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1090@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1089)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1090@9e4dd418fd54: Final verdict of PTC: none TC_sabm_contention(1089)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1091)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1092@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1091)@9e4dd418fd54: setverdict(pass): none -> pass 1092@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1091)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1092@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1091)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1092@9e4dd418fd54: Final verdict of PTC: none TC_sabm_contention(1091)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1094@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1093)@9e4dd418fd54: setverdict(pass): none -> pass 1094@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1094@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1094@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1094@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1093)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1094@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1093)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1094@9e4dd418fd54: Final verdict of PTC: none TC_sabm_contention(1093)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1095)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1096@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1095)@9e4dd418fd54: setverdict(pass): none -> pass 1096@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1096@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1096@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1096@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1095)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_sabm_contention(1095)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1096@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1095)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1096@9e4dd418fd54: Final verdict of PTC: none TC_sabm_contention(1095)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1087)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1088)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1086)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention-RSL-IPA(1086): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention-RSL(1087): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1088): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention(1089): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1090: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention(1091): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention(1093): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1094: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_contention(1095): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1096: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_contention finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Fri May 24 10:21:47 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20199284) Waiting for packet dumper to finish... 1 (prev_count=20199284, count=20199783) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Fri May 24 10:21:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1101@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1100)@9e4dd418fd54: setverdict(pass): none -> pass 1101@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1101@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1101@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1101@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1100)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1101@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1100)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1101@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit(1100)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1102)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1103@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1102)@9e4dd418fd54: setverdict(pass): none -> pass 1103@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1102)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1103@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1102)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1103@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit(1102)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_retransmit(1104)@9e4dd418fd54: setverdict(pass): none -> pass 1105@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1105@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1105@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1105@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1105@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1105@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1104)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1105@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit(1104)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1106)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1107@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1106)@9e4dd418fd54: setverdict(pass): none -> pass 1107@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1107@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1107@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1107@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1107@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1106)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1107@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit(1106)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1098)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1097)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1099)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1097): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit-RSL(1098): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1099): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit(1100): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1101: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit(1102): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit(1104): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1105: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit(1106): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1107: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_retransmit finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Fri May 24 10:21:55 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3790573) Waiting for packet dumper to finish... 1 (prev_count=3790573, count=3795724) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Fri May 24 10:21:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1112@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1111)@9e4dd418fd54: setverdict(pass): none -> pass 1112@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1112@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1112@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1112@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1111)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1112@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1112@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit_bts(1111)@9e4dd418fd54: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1113)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1114@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1113)@9e4dd418fd54: setverdict(pass): none -> pass 1114@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1113)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1114@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1114@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit_bts(1113)@9e4dd418fd54: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1116@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1115)@9e4dd418fd54: setverdict(pass): none -> pass 1116@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1116@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1116@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1116@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1115)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1116@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1116@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit_bts(1115)@9e4dd418fd54: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1117)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1118@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1117)@9e4dd418fd54: setverdict(pass): none -> pass 1118@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1118@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1118@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1118@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1117)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Incorrect number of SABM re-transmissions of observed: 7", new component reason: "Incorrect number of SABM re-transmissions of observed: 7" 1118@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1118@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit_bts(1117)@9e4dd418fd54: Final verdict of PTC: fail reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1109)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1110)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1108)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1108): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1109): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1110): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts(1111): fail (none -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1112: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts(1113): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1114: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts(1115): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1116: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_retransmit_bts(1117): fail (fail -> fail) reason: "Incorrect number of SABM re-transmissions of observed: 7" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1118: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_sabm_retransmit_bts finished. Verdict: fail reason: Incorrect number of SABM re-transmissions of observed: 7 MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail'. Fri May 24 10:23:21 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=74282835) Waiting for packet dumper to finish... 1 (prev_count=74282835, count=74283334) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Fri May 24 10:23:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1123@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): none -> pass 1123@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1123@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1123@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1123@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1123@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1123@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1124@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1124@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1124@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1124@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1124@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1124@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1124@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1125@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1125@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1125@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1122)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1125@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1122)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1126)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1127@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): none -> pass 1127@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1127@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1127@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1127@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1127@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1127@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1126)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1128@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1128@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1128@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1128@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1128@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1128@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1128@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1126)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1129@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1129@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1129@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1129@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1129@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1129@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1126)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1129@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1126)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): none -> pass 1131@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1131@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1131@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1131@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1131@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1131@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1131@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1132@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1132@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1132@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1132@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1133@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1133@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1133@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1133@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1133@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1133@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1130)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1133@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1130)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1134)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1135@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): none -> pass 1135@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1135@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1135@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1135@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1135@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1135@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1134)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1136@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1136@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1136@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1136@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1134)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1137@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1137@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1137@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1137@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1137@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1137@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1134)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1137@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp(1134)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1120)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1119)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1121)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1119): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp-RSL(1120): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1121): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp(1122): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1123: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1124: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp(1126): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1127: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1128: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1129: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp(1130): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1131: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1132: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1133: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_invalid_resp(1134): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1135: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1137: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Fri May 24 10:23:45 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15520016) Waiting for packet dumper to finish... 1 (prev_count=15520016, count=15525167) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Fri May 24 10:23:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1139)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1139)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1139)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1139)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL(1139)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1139)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1139)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1139)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1139)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1139)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1139)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1139)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1139)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1139)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1139)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1139)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1142@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1141)@9e4dd418fd54: setverdict(pass): none -> pass 1142@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1142@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1141)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1142@9e4dd418fd54: Final verdict of PTC: none TC_sabm_dm(1141)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1143)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1144@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1143)@9e4dd418fd54: setverdict(pass): none -> pass 1144@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1144@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1144@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1144@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1144@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1143)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1144@9e4dd418fd54: Final verdict of PTC: none TC_sabm_dm(1143)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1146@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1145)@9e4dd418fd54: setverdict(pass): none -> pass 1146@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1146@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1146@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1146@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1146@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1145)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1146@9e4dd418fd54: Final verdict of PTC: none TC_sabm_dm(1145)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1147)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1148@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1147)@9e4dd418fd54: setverdict(pass): none -> pass 1148@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1148@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1148@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1148@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1147)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1148@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1147)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1148@9e4dd418fd54: Final verdict of PTC: none TC_sabm_dm(1147)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1139)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1140)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1138)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm-RSL-IPA(1138): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm-RSL(1139): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1140): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm(1141): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm(1143): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1144: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm(1145): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1146: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_dm(1147): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1148: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_sabm_dm finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Fri May 24 10:24:04 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13635156) Waiting for packet dumper to finish... 1 (prev_count=13635156, count=13635655) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Fri May 24 10:24:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1153@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1152)@9e4dd418fd54: setverdict(pass): none -> pass 1153@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1153@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1152)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1153@9e4dd418fd54: Final verdict of PTC: none TC_establish_ign_first_sabm(1152)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1154)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1155@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1154)@9e4dd418fd54: setverdict(pass): none -> pass 1155@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1155@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1155@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1155@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1155@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1154)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1155@9e4dd418fd54: Final verdict of PTC: none TC_establish_ign_first_sabm(1154)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1157@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1156)@9e4dd418fd54: setverdict(pass): none -> pass 1157@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1157@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1157@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1157@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1157@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1156)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1157@9e4dd418fd54: Final verdict of PTC: none TC_establish_ign_first_sabm(1156)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1158)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1159@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1158)@9e4dd418fd54: setverdict(pass): none -> pass 1159@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1159@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1159@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1159@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1159@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1158)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1159@9e4dd418fd54: Final verdict of PTC: none TC_establish_ign_first_sabm(1158)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1150)@9e4dd418fd54: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1149)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1151)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1149): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1150): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1151): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm(1152): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm(1154): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1155: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm(1156): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1157: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_establish_ign_first_sabm(1158): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1159: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Fri May 24 10:24:15 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7223475) Waiting for packet dumper to finish... 1 (prev_count=7223475, count=7228626) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Fri May 24 10:24:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1164@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1163)@9e4dd418fd54: setverdict(pass): none -> pass 1164@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 0 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 1 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 2 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 3 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 4 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 5 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 6 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 7 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 8 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Starting iteration 9 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Completed iteration 1164@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1163)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1164@9e4dd418fd54: Final verdict of PTC: none TC_iframe_seq_and_ack(1163)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1166@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1165)@9e4dd418fd54: setverdict(pass): none -> pass 1166@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1166@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1166@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1166@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 0 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 1 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 2 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 3 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 4 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 5 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 6 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 7 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 8 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Starting iteration 9 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Completed iteration 1166@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1165)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1166@9e4dd418fd54: Final verdict of PTC: none TC_iframe_seq_and_ack(1165)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1168@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1167)@9e4dd418fd54: setverdict(pass): none -> pass 1168@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 0 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 1 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 2 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 3 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 4 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 5 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 6 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 7 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 8 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Starting iteration 9 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Completed iteration 1168@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1167)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1168@9e4dd418fd54: Final verdict of PTC: none TC_iframe_seq_and_ack(1167)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1170@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1169)@9e4dd418fd54: setverdict(pass): none -> pass 1170@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1170@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1170@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1170@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 0 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 1 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 2 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 3 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 4 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Starting iteration 5 TC_iframe_seq_and_ack(1169)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 1169 has requested to stop MTC. Terminating current testcase execution. TC_iframe_seq_and_ack-RSL(1161)@9e4dd418fd54: Final verdict of PTC: none 1170@9e4dd418fd54: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1160)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1162)@9e4dd418fd54: Final verdict of PTC: none TC_iframe_seq_and_ack(1169)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1160): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1161): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1162): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack(1163): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack(1165): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1166: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack(1167): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_seq_and_ack(1169): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1170: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_iframe_seq_and_ack finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail'. Fri May 24 10:24:49 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24659431) Waiting for packet dumper to finish... 1 (prev_count=24659431, count=24659930) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Fri May 24 10:24:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1175@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1174)@9e4dd418fd54: setverdict(pass): none -> pass 1175@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1175@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1175@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1175@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1175@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1174)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1175@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery(1174)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1176)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1177@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1176)@9e4dd418fd54: setverdict(pass): none -> pass 1177@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1177@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1176)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1177@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery(1176)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1179@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1178)@9e4dd418fd54: setverdict(pass): none -> pass 1179@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1179@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1179@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1179@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1179@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1178)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1179@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery(1178)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1180)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1181@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1180)@9e4dd418fd54: setverdict(pass): none -> pass 1181@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1181@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1181@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1181@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_timer_recovery(1180)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_iframe_timer_recovery(1180)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 1180 has requested to stop MTC. Terminating current testcase execution. 1181@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery-RSL(1172)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1173)@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1171)@9e4dd418fd54: Final verdict of PTC: none TC_iframe_timer_recovery(1180)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1171): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery-RSL(1172): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1173): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery(1174): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1175: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery(1176): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery(1178): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1179: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_iframe_timer_recovery(1180): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1181: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_iframe_timer_recovery finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail'. Fri May 24 10:25:22 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24188912) Waiting for packet dumper to finish... 1 (prev_count=24188912, count=24189411) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Fri May 24 10:25:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1183)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1186@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1185)@9e4dd418fd54: setverdict(pass): none -> pass 1186@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1186@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1186@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1186@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1185)@9e4dd418fd54: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1185)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1186@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1186@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error(1185)@9e4dd418fd54: Final verdict of PTC: fail reason: "Missing second REJ" MTC@9e4dd418fd54: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1187)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1188@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1187)@9e4dd418fd54: setverdict(pass): none -> pass 1188@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1187)@9e4dd418fd54: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1187)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1188@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1188@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error(1187)@9e4dd418fd54: Final verdict of PTC: fail reason: "Missing second REJ" MTC@9e4dd418fd54: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1190@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1189)@9e4dd418fd54: setverdict(pass): none -> pass 1190@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1190@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1190@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1190@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1189)@9e4dd418fd54: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1189)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1190@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1190@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error(1189)@9e4dd418fd54: Final verdict of PTC: fail reason: "Missing second REJ" MTC@9e4dd418fd54: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1191)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1192@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1191)@9e4dd418fd54: setverdict(pass): none -> pass 1192@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1192@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1192@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1192@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1191)@9e4dd418fd54: Warning: Re-starting timer T1, which is already active (running or expired). TC_ns_seq_error(1191)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Missing second REJ", new component reason: "Missing second REJ" 1192@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1192@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error(1191)@9e4dd418fd54: Final verdict of PTC: fail reason: "Missing second REJ" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1184)@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error-RSL(1183)@9e4dd418fd54: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1182)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1182): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error-RSL(1183): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1184): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error(1185): fail (none -> fail) reason: "Missing second REJ" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1186: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error(1187): fail (fail -> fail) reason: "Missing second REJ" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1188: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error(1189): fail (fail -> fail) reason: "Missing second REJ" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1190: none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_ns_seq_error(1191): fail (fail -> fail) reason: "Missing second REJ" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1192: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_ns_seq_error finished. Verdict: fail reason: Missing second REJ MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Fri May 24 10:25:49 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20076471) Waiting for packet dumper to finish... 1 (prev_count=20076471, count=20076970) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Fri May 24 10:25:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL(1194)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1194)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1197@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1196)@9e4dd418fd54: setverdict(pass): none -> pass 1197@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1197@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1197@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1197@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_nr_seq_error(1196)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_nr_seq_error(1196)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 1196 has requested to stop MTC. Terminating current testcase execution. 1197@9e4dd418fd54: Final verdict of PTC: none TC_nr_seq_error-RSL(1194)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1195)@9e4dd418fd54: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1193)@9e4dd418fd54: Final verdict of PTC: none TC_nr_seq_error(1196)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1193): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_nr_seq_error-RSL(1194): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1195): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_nr_seq_error(1196): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1197: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_nr_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error fail'. Fri May 24 10:26:14 UTC 2024 ------ BTS_Tests_LAPDm.TC_nr_seq_error fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=25024180) Waiting for packet dumper to finish... 1 (prev_count=25024180, count=25024679) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Fri May 24 10:26:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1202@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1201)@9e4dd418fd54: setverdict(pass): none -> pass 1202@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1202@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1202@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1202@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1202@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1201)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1202@9e4dd418fd54: Final verdict of PTC: none TC_rec_invalid_frame(1201)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1201)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1203)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1204@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1203)@9e4dd418fd54: setverdict(pass): none -> pass 1204@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1204@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1204@9e4dd418fd54: Final verdict of PTC: none TC_rec_invalid_frame(1203)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1203)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1206@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1205)@9e4dd418fd54: setverdict(pass): none -> pass 1206@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1206@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1206@9e4dd418fd54: Final verdict of PTC: none TC_rec_invalid_frame(1205)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1205)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1207)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1208@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1207)@9e4dd418fd54: setverdict(pass): none -> pass 1208@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1208@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1208@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1208@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rec_invalid_frame(1207)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"", new component reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" TC_rec_invalid_frame(1207)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":909 MC@9e4dd418fd54: Test Component 1207 has requested to stop MTC. Terminating current testcase execution. TC_rec_invalid_frame-RSL(1199)@9e4dd418fd54: Final verdict of PTC: none 1208@9e4dd418fd54: Final verdict of PTC: none TC_rec_invalid_frame(1207)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" IPA-CTRL-CLI-IPA(1200)@9e4dd418fd54: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1198)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1198): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame-RSL(1199): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1200): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame(1201): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1202: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame(1203): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1204: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame(1205): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1206: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rec_invalid_frame(1207): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1208: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_rec_invalid_frame finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail'. Fri May 24 10:28:17 UTC 2024 ------ BTS_Tests_LAPDm.TC_rec_invalid_frame fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=110972372) Waiting for packet dumper to finish... 1 (prev_count=110972372, count=110972871) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Fri May 24 10:28:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1213@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1212)@9e4dd418fd54: setverdict(pass): none -> pass 1213@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1213@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1213@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1213@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1212)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1213@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1212)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1213@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_dcch(1212)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1214)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1215@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1214)@9e4dd418fd54: setverdict(pass): none -> pass 1215@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1215@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1215@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1215@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1214)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1215@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1214)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1215@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_dcch(1214)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1217@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1216)@9e4dd418fd54: setverdict(pass): none -> pass 1217@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1217@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1217@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1217@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1216)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1217@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1216)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1217@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_dcch(1216)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1218)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1219@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1218)@9e4dd418fd54: setverdict(pass): none -> pass 1219@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1219@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1219@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1219@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1218)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch(1218)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@9e4dd418fd54: Test Component 1218 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_dcch(1218)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch-RSL(1210)@9e4dd418fd54: Final verdict of PTC: none 1219@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1209)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1211)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1209): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch-RSL(1210): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1211): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch(1212): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1213: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch(1214): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1215: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch(1216): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1217: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_dcch(1218): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1219: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_segm_concat_dcch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail'. Fri May 24 10:28:36 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_dcch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9621659) Waiting for packet dumper to finish... 1 (prev_count=9621659, count=9622158) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Fri May 24 10:28:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1224@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1223)@9e4dd418fd54: setverdict(pass): none -> pass 1224@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1224@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1224@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1224@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1223)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1224@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1223)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1224@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_sacch(1223)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1225)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1226@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1225)@9e4dd418fd54: setverdict(pass): none -> pass 1226@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1226@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1226@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1226@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1225)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1226@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1225)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1226@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_sacch(1225)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1228@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1227)@9e4dd418fd54: setverdict(pass): none -> pass 1228@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1228@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1228@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1228@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1227)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1228@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1227)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1228@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_sacch(1227)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1229)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1230@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1229)@9e4dd418fd54: setverdict(pass): none -> pass 1230@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1230@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1230@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1230@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1229)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch(1229)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@9e4dd418fd54: Test Component 1229 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_sacch(1229)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" 1230@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_sacch-RSL(1221)@9e4dd418fd54: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1220)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1222)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1220): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch-RSL(1221): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1222): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch(1223): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1224: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch(1225): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1226: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch(1227): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1228: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_segm_concat_sacch(1229): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1230: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_segm_concat_sacch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail'. Fri May 24 10:29:29 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_sacch fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=46442571) Waiting for packet dumper to finish... 1 (prev_count=46442571, count=46443507) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Fri May 24 10:29:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1232)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1232)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL(1232)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1232)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1232)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1232)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_t200_n200-RSL(1232)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1232)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL(1232)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1232)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_t200_n200-RSL(1232)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1232)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1232)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_t200_n200-RSL(1232)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1232)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1232)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1235@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1234)@9e4dd418fd54: setverdict(pass): none -> pass 1235@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1235@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1235@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1235@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1234)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1235@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1234)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1235@9e4dd418fd54: Final verdict of PTC: none TC_t200_n200(1234)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1236)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1237@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1236)@9e4dd418fd54: setverdict(pass): none -> pass 1237@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1237@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1237@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1237@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1236)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1237@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1236)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1237@9e4dd418fd54: Final verdict of PTC: none TC_t200_n200(1236)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1239@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1238)@9e4dd418fd54: setverdict(pass): none -> pass 1239@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1239@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1239@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1239@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1238)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1239@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1238)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1239@9e4dd418fd54: Final verdict of PTC: none TC_t200_n200(1238)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1240)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1241@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1240)@9e4dd418fd54: setverdict(pass): none -> pass 1241@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1241@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1241@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1241@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1240)@9e4dd418fd54: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1241@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1240)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1241@9e4dd418fd54: Final verdict of PTC: none TC_t200_n200(1240)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1232)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1233)@9e4dd418fd54: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1231)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200-RSL-IPA(1231): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200-RSL(1232): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1233): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200(1234): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1235: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200(1236): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1237: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200(1238): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1239: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_t200_n200(1240): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1241: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_t200_n200 finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Fri May 24 10:30:36 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=52849646) Waiting for packet dumper to finish... 1 (prev_count=52849646, count=52850145) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Fri May 24 10:30:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1246@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1245)@9e4dd418fd54: setverdict(pass): none -> pass 1246@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1246@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1246@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1246@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1245)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1246@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1245)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1246@9e4dd418fd54: Final verdict of PTC: none TC_rr_response_frame_loss(1245)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1247)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1248@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1247)@9e4dd418fd54: setverdict(pass): none -> pass 1248@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1248@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1248@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1248@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1247)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1248@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1247)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1248@9e4dd418fd54: Final verdict of PTC: none TC_rr_response_frame_loss(1247)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rr_response_frame_loss(1249)@9e4dd418fd54: setverdict(pass): none -> pass 1250@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1250@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1250@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1250@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1250@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1249)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1250@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1249)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1250@9e4dd418fd54: Final verdict of PTC: none TC_rr_response_frame_loss(1249)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1251)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1252@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1251)@9e4dd418fd54: setverdict(pass): none -> pass 1252@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1252@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1252@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1252@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1251)@9e4dd418fd54: setverdict(fail): pass -> fail reason: "Timeout waiting for RR or REJ", new component reason: "Timeout waiting for RR or REJ" 1252@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1252@9e4dd418fd54: Final verdict of PTC: none TC_rr_response_frame_loss(1251)@9e4dd418fd54: Final verdict of PTC: fail reason: "Timeout waiting for RR or REJ" MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1243)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1244)@9e4dd418fd54: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1242)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1242): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss-RSL(1243): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1244): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss(1245): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1246: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss(1247): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1248: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss(1249): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1250: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_rr_response_frame_loss(1251): fail (pass -> fail) reason: "Timeout waiting for RR or REJ" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1252: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_rr_response_frame_loss finished. Verdict: fail reason: Timeout waiting for RR or REJ MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail'. Fri May 24 10:30:52 UTC 2024 ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7562639) Waiting for packet dumper to finish... 1 (prev_count=7562639, count=7563138) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Fri May 24 10:30:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL(1254)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1254)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1254)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1254)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1257@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1256)@9e4dd418fd54: setverdict(pass): none -> pass 1257@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1257@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1257@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1257@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1257@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1256)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1257@9e4dd418fd54: Final verdict of PTC: none TC_incorrect_cr(1256)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1258)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1259@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1258)@9e4dd418fd54: setverdict(pass): none -> pass 1259@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1259@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1259@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1259@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1259@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1258)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1259@9e4dd418fd54: Final verdict of PTC: none TC_incorrect_cr(1258)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_incorrect_cr(1260)@9e4dd418fd54: setverdict(pass): none -> pass 1261@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1261@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1261@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1261@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1261@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1261@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1260)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1261@9e4dd418fd54: Final verdict of PTC: none TC_incorrect_cr(1260)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1262)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1263@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1262)@9e4dd418fd54: setverdict(pass): none -> pass 1263@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1263@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1263@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1263@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1263@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1262)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1263@9e4dd418fd54: Final verdict of PTC: none TC_incorrect_cr(1262)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1254)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1255)@9e4dd418fd54: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1253)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1253): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr-RSL(1254): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1255): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr(1256): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1257: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr(1258): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1259: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr(1260): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1261: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_incorrect_cr(1262): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1263: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_incorrect_cr finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Fri May 24 10:31:12 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14978074) Waiting for packet dumper to finish... 1 (prev_count=14978074, count=14978573) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Fri May 24 10:31:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1268@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1267)@9e4dd418fd54: setverdict(pass): none -> pass 1268@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1268@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1268@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1268@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1268@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1267)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1268@9e4dd418fd54: Final verdict of PTC: none TC_sabm_incorrect_c(1267)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1269)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1270@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1269)@9e4dd418fd54: setverdict(pass): none -> pass 1270@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1270@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1270@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1270@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1270@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1269)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1270@9e4dd418fd54: Final verdict of PTC: none TC_sabm_incorrect_c(1269)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_incorrect_c(1271)@9e4dd418fd54: setverdict(pass): none -> pass 1272@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1272@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1272@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1272@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1272@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1272@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1271)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1272@9e4dd418fd54: Final verdict of PTC: none TC_sabm_incorrect_c(1271)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1273)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1273)@9e4dd418fd54: setverdict(pass): none -> pass 1274@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1274@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1274@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1274@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1274@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_incorrect_c(1273)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_sabm_incorrect_c(1273)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@9e4dd418fd54: Test Component 1273 has requested to stop MTC. Terminating current testcase execution. TC_sabm_incorrect_c-RSL(1265)@9e4dd418fd54: Final verdict of PTC: none 1274@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1266)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1264)@9e4dd418fd54: Final verdict of PTC: none TC_sabm_incorrect_c(1273)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1264): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c-RSL(1265): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1266): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c(1267): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1268: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c(1269): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1270: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c(1271): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1272: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_sabm_incorrect_c(1273): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1274: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_sabm_incorrect_c finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail'. Fri May 24 10:31:40 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18538379) Waiting for packet dumper to finish... 1 (prev_count=18538379, count=18544095) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Fri May 24 10:31:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1279@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1278)@9e4dd418fd54: setverdict(pass): none -> pass 1279@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1279@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1279@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1279@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1278)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1278)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1279@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1278)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1279@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment(1278)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1280)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1280)@9e4dd418fd54: setverdict(pass): none -> pass 1281@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1281@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1281@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1281@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1281@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1280)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1280)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1281@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1280)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1281@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment(1280)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_normal_reestablishment(1282)@9e4dd418fd54: setverdict(pass): none -> pass 1283@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1283@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1283@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1283@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1283@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1282)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1282)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1283@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1282)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1283@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment(1282)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1284)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1285@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1284)@9e4dd418fd54: setverdict(pass): none -> pass 1285@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1285@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1285@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1285@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1284)@9e4dd418fd54: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_normal_reestablishment(1284)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@9e4dd418fd54: Test Component 1284 has requested to stop MTC. Terminating current testcase execution. 1285@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment-RSL(1276)@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment(1284)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1277)@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1275)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1275): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment-RSL(1276): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1277): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment(1278): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1279: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment(1280): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1281: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment(1282): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1283: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment(1284): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@9e4dd418fd54: Local verdict of PTC with component reference 1285: none (fail -> fail) MTC@9e4dd418fd54: Test case TC_normal_reestablishment finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail'. Fri May 24 10:32:10 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22917472) Waiting for packet dumper to finish... 1 (prev_count=22917472, count=22923060) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Fri May 24 10:32:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1290@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1289)@9e4dd418fd54: setverdict(pass): none -> pass 1290@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1290@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1290@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1290@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1289)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1290@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1289)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1290@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1289)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1291)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1292@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1291)@9e4dd418fd54: setverdict(pass): none -> pass 1292@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1292@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1292@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1292@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1291)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1292@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1291)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1292@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1291)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1294@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1293)@9e4dd418fd54: setverdict(pass): none -> pass 1294@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1294@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1294@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1294@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1293)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1294@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1293)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1294@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1293)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1295)@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1296@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1295)@9e4dd418fd54: setverdict(pass): none -> pass 1296@9e4dd418fd54: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1296@9e4dd418fd54: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1296@9e4dd418fd54: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1296@9e4dd418fd54: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1295)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1296@9e4dd418fd54: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1295)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed 1296@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1295)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment_state_unacked-RSL(1287)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1288)@9e4dd418fd54: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1286)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1286): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1287): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1288): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked(1289): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1290: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked(1291): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1292: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked(1293): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1294: none (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_normal_reestablishment_state_unacked(1295): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC with component reference 1296: none (pass -> pass) MTC@9e4dd418fd54: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Fri May 24 10:32:40 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22104943) Waiting for packet dumper to finish... 1 (prev_count=22104943, count=22110531) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@9e4dd418fd54: Test execution finished. MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Fri May 24 10:32:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1300)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1301)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1300)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1300)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1301)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1301)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1302)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1303)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1302)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1302)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1303)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1303)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1304)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1305)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1304)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1304)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1305)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1305)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1306)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1307)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1306)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1306)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vff(1307)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1307)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1298)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1297)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1299)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1297): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1298): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1299): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1300): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1301): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1302): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1303): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1304): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1305): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1306): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vff(1307): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Fri May 24 10:32:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2828597) Waiting for packet dumper to finish... 1 (prev_count=2828597, count=2829096) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Fri May 24 10:32:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1311)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1312)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1313)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1314)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1311)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1311)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1312)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1312)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1313)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1313)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1314)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1314)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1315)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1316)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1317)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1318)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1315)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1315)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1316)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1316)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1317)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1317)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1318)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1318)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1319)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1320)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1321)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1322)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1319)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1319)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1320)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1320)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1321)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1321)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1322)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1322)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1323)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1324)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1325)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1326)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1323)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1323)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1324)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1324)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1325)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1325)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1326)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1326)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1309)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1310)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1308)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1308): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1309): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1310): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1311): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1312): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1313): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1314): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1315): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1316): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1317): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1318): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1319): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1320): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1321): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1322): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1323): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1324): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1325): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_vhh(1326): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Fri May 24 10:32:53 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3043679) Waiting for packet dumper to finish... 1 (prev_count=3043679, count=3044178) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Fri May 24 10:32:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1330)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1331)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1332)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1330)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1330)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1331)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1331)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1332)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1332)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1333)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1334)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1335)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1333)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1333)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1334)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1334)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1335)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1335)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1336)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1337)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1338)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1336)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1336)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1337)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1337)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1338)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1338)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1339)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1340)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1341)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1339)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1339)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1340)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1340)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1341)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1341)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1328)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1327)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1329)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1327): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1328): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1329): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1330): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1331): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1332): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1333): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1334): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1335): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1336): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1337): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1338): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1339): pass (pass -> pass) Fri May 24 10:33:00 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1340): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_hvhh(1341): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Waiting for packet dumper to finish... 0 (prev_count=-1, count=2940471) Waiting for packet dumper to finish... 1 (prev_count=2940471, count=2946059) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Fri May 24 10:33:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1345)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1346)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1345)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1346)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1345)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1346)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1347)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1348)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1347)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1347)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1348)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1348)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1349)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1350)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1349)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1349)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1350)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1350)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1351)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1352)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1351)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1351)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1352)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1352)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1343)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1344)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1342): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1343): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1344): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1345): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1346): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1347): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1348): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1349): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1350): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1351): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1352): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Fri May 24 10:33:07 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2875594) Waiting for packet dumper to finish... 1 (prev_count=2875594, count=2876093) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Fri May 24 10:33:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1356)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1357)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1356)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1356)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1357)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1357)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1358)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1359)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1358)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1358)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1359)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1359)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1360)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1361)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1360)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1360)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1361)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1361)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1362)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1363)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1362)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1362)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1363)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1363)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1354)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1355)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1353): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1354): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1355): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1356): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1357): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1358): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1359): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1360): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1361): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1362): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1363): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Fri May 24 10:33:14 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2837744) Waiting for packet dumper to finish... 1 (prev_count=2837744, count=2838243) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Fri May 24 10:33:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1367)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1368)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1369)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1370)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1367)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1367)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1368)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1368)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1369)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1369)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1370)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1370)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1371)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1372)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1373)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1374)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1371)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1371)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1372)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1372)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1373)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1373)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1374)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1374)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1375)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1376)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1377)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1378)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1375)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1375)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1376)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1376)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1377)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1377)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1378)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1378)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1379)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1380)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1381)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1382)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1379)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1379)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1380)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1380)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1381)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1381)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1382)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1382)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1366)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1364): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1365): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1366): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1367): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1368): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1369): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1370): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1371): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1372): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1373): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1374): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1375): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1376): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1377): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1378): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1379): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1380): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1381): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1382): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Fri May 24 10:33:21 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3009660) Waiting for packet dumper to finish... 1 (prev_count=3009660, count=3010159) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Fri May 24 10:33:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1386)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1387)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1388)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1386)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1386)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1387)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1387)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1388)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1388)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1389)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1390)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1391)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1389)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1389)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1390)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1390)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1391)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1391)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1392)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1393)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1394)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1392)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1392)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1393)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1393)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1394)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1394)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1395)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1396)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1397)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1395)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1395)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1396)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1396)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1397)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1397)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1385)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1383): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1384): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1385): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1386): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1387): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1388): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1389): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1390): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1391): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1392): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1393): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1394): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1395): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1396): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1397): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Fri May 24 10:33:28 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2911157) Waiting for packet dumper to finish... 1 (prev_count=2911157, count=2911656) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Fri May 24 10:33:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1401)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1401)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1402)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1401)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1401)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1402)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1402)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1402)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1403)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1403)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1403)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1403)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1404)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1404)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1404)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1404)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1405)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1405)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1405)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1405)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1406)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1406)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1406)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1406)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1407)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1407)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1408)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1407)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1407)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1408)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1408)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1408)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1399)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1400)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1398)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1398): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1399): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1400): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1401): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1402): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1403): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1404): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1405): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1406): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1407): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1408): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Fri May 24 10:33:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2073831) Waiting for packet dumper to finish... 1 (prev_count=2073831, count=2074330) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Fri May 24 10:33:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1412)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1412)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1412)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1413)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1412)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1413)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1414)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1413)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1413)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1414)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1414)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1414)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1415)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1415)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1415)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1415)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1416)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1416)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1416)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1416)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1417)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1417)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1417)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1417)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1418)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1418)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1418)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1418)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1419)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1419)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1419)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1419)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1420)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1420)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1420)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1420)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1421)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1421)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1421)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1422)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1421)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1422)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1422)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1422)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1423)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1423)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1423)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1423)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1424)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1424)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1424)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1424)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1425)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1425)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1425)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1425)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1426)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1426)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1426)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1426)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1427)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1427)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1427)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1427)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1410)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1411)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1409): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1410): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1411): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1412): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1413): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1414): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1415): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1416): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1417): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1418): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1419): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1420): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1421): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1422): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1423): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1424): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1425): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1426): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1427): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Fri May 24 10:33:39 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2230182) Waiting for packet dumper to finish... 1 (prev_count=2230182, count=2230681) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Fri May 24 10:33:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1431)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1431)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1431)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1431)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1432)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1432)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1432)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1432)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1433)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1433)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1433)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1433)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1434)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1434)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1434)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1434)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1435)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1435)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1436)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1435)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1436)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1435)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1436)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1436)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1437)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1437)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1437)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1437)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1438)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1438)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1438)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1438)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1439)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1439)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1439)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1439)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1440)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1440)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1440)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1440)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1441)@9e4dd418fd54: setverdict(pass): none -> pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1441)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1441)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1441)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1442)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1442)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1442)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1442)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1429)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1430)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1428): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1429): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1430): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1431): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1432): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1433): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1434): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1435): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1436): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1437): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1438): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1439): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1440): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1441): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1442): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Fri May 24 10:33:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2152006) Waiting for packet dumper to finish... 1 (prev_count=2152006, count=2157157) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Fri May 24 10:33:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1446)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1446)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1446)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1446)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1447)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1447)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1447)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1447)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1448)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1448)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1448)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1448)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1449)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1449)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1449)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1449)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1450)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1450)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1450)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1450)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1451)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1451)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1451)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1451)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@9e4dd418fd54: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1452)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1452)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1452)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1452)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1453)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1453)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1453)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1453)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1445)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1443): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1444): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1445): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1446): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1447): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1448): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1449): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1450): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1451): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1452): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1453): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Fri May 24 10:33:50 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2072013) Waiting for packet dumper to finish... 1 (prev_count=2072013, count=2077164) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Fri May 24 10:33:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1457)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1457)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1457)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1457)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1458)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1458)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1458)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1458)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1459)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1459)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1459)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1459)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1460)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1460)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1460)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1460)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1461)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1461)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1461)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1461)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1462)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1462)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1462)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1462)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1463)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1463)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1463)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1464)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1463)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1464)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1464)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1464)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1456)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1454): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1455): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1456): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1457): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1458): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1459): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1460): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1461): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1462): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1463): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1464): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Fri May 24 10:33:56 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2080541) Waiting for packet dumper to finish... 1 (prev_count=2080541, count=2081040) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Fri May 24 10:33:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1468)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1468)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1468)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1468)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1469)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1469)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1470)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1469)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1469)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1470)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1470)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1470)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1471)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1471)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1471)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1471)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1472)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1472)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1472)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1472)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1473)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1473)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1473)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1473)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1474)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1474)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1474)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1474)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1475)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1475)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1475)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1475)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1476)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1476)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1476)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1476)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1477)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1477)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1477)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1477)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1478)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1478)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1478)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1478)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1479)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1479)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1479)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1479)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1480)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1480)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1480)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1480)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1481)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1481)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1481)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1481)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1467)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1465): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1466): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1467): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1468): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1469): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1470): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1471): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1472): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1473): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1474): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1475): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1476): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1477): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1478): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1479): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1480): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1481): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1482): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1483): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Fri May 24 10:34:02 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2234366) Waiting for packet dumper to finish... 1 (prev_count=2234366, count=2234865) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Fri May 24 10:34:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1487)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1487)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1487)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1487)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1488)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1488)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1488)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1488)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1489)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1489)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1489)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1489)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1490)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1490)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1490)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1490)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1491)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1491)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1491)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1491)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1492)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1492)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1492)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1492)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1493)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1493)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1493)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1493)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1494)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1494)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1494)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1494)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1495)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1495)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1495)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1495)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1496)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1496)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1496)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1496)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1497)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1497)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1497)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1497)@9e4dd418fd54: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1498)@9e4dd418fd54: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1498)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1498)@9e4dd418fd54: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1498)@9e4dd418fd54: Final verdict of PTC: pass MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1486)@9e4dd418fd54: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1484): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1485): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1486): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1487): pass (none -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1488): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1489): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1490): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1491): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1492): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1493): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1494): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1495): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1496): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1497): pass (pass -> pass) MTC@9e4dd418fd54: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1498): pass (pass -> pass) MTC@9e4dd418fd54: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Fri May 24 10:34:07 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.225.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2159331) Waiting for packet dumper to finish... 1 (prev_count=2159331, count=2159830) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@9e4dd418fd54: Test execution finished. MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Fri May 24 10:34:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL(1500)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL(1500)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL(1500)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1500)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL(1500)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1500)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1500)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1500)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL(1500)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1500)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Sending RSL NOTIF_CMD (start) MTC@9e4dd418fd54: setverdict(fail): none -> fail reason: "Timeout waiting for NCH message", new component reason: "Timeout waiting for NCH message" MTC@9e4dd418fd54: Sending RSL NOTIF_CMD (stop) MTC@9e4dd418fd54: Not received NOTIFICATION/NCH. (as expected) MTC@9e4dd418fd54: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":127 TC_vbs_notification-RSL(1500)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1501)@9e4dd418fd54: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1499)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: fail reason: "Timeout waiting for NCH message" MTC@9e4dd418fd54: Local verdict of PTC TC_vbs_notification-RSL-IPA(1499): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC TC_vbs_notification-RSL(1500): none (fail -> fail) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1501): none (fail -> fail) MTC@9e4dd418fd54: Test case TC_vbs_notification finished. Verdict: fail reason: Timeout waiting for NCH message MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail'. Fri May 24 10:34:18 UTC 2024 ------ BTS_Tests_ASCI.TC_vbs_notification fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6621274) Waiting for packet dumper to finish... 1 (prev_count=6621274, count=6621773) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Fri May 24 10:34:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1505)@9e4dd418fd54: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1505)@9e4dd418fd54: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_uplink_free_and_busy(1505)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@9e4dd418fd54: Test Component 1505 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_uplink_free_and_busy-RSL(1503)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy(1505)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1504)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1502)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1502): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1503): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1504): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1505): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@9e4dd418fd54: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail'. Fri May 24 10:34:24 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1942471) Waiting for packet dumper to finish... 1 (prev_count=1942471, count=1942970) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Fri May 24 10:34:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: Established a new IPA connection (conn_id=4) MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 MTC@9e4dd418fd54: 2/4 transceiver(s) connected MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1509)@9e4dd418fd54: Activating VGCS channel. TC_vgcs_talker_fail(1509)@9e4dd418fd54: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_talker_fail(1509)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@9e4dd418fd54: Test Component 1509 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_fail-RSL(1507)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1506)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_talker_fail(1509)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" IPA-CTRL-CLI-IPA(1508)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1506): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_fail-RSL(1507): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1508): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_fail(1509): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@9e4dd418fd54: Test case TC_vgcs_talker_fail finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail'. Fri May 24 10:34:29 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_fail fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1938167) Waiting for packet dumper to finish... 1 (prev_count=1938167, count=1938666) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Fri May 24 10:34:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1513)@9e4dd418fd54: Activating VGCS channel. TC_vgcs_talker_est_rel(1513)@9e4dd418fd54: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_talker_est_rel(1513)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@9e4dd418fd54: Test Component 1513 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_est_rel-RSL(1511)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1512)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_talker_est_rel(1513)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_talker_est_rel-RSL-IPA(1510)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1510): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1511): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1512): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_talker_est_rel(1513): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@9e4dd418fd54: Test case TC_vgcs_talker_est_rel finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail'. Fri May 24 10:34:35 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1937923) Waiting for packet dumper to finish... 1 (prev_count=1937923, count=1943074) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Fri May 24 10:34:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@9e4dd418fd54: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: Established a new IPA connection (conn_id=5) MTC@9e4dd418fd54: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@9e4dd418fd54: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@9e4dd418fd54: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Mapped TRX#2 to TCP/IP conn_id=4 MTC@9e4dd418fd54: 3/4 transceiver(s) connected MTC@9e4dd418fd54: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D65312D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Mapped TRX#3 to TCP/IP conn_id=5 TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@9e4dd418fd54: 4/4 transceiver(s) connected MTC@9e4dd418fd54: 4/4 RF Resource Indication(s) received MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@9e4dd418fd54: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@9e4dd418fd54: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1517)@9e4dd418fd54: Activating VGCS channel. TC_vgcs_listener_det(1517)@9e4dd418fd54: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_listener_det(1517)@9e4dd418fd54: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@9e4dd418fd54: Test Component 1517 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_listener_det(1517)@9e4dd418fd54: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_vgcs_listener_det-RSL(1515)@9e4dd418fd54: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1516)@9e4dd418fd54: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1514)@9e4dd418fd54: Final verdict of PTC: none MTC@9e4dd418fd54: Setting final verdict of the test case. MTC@9e4dd418fd54: Local verdict of MTC: none MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1514): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_listener_det-RSL(1515): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC IPA-CTRL-CLI-IPA(1516): none (none -> none) MTC@9e4dd418fd54: Local verdict of PTC TC_vgcs_listener_det(1517): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@9e4dd418fd54: Test case TC_vgcs_listener_det finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@9e4dd418fd54: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail'. Fri May 24 10:34:40 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_listener_det fail ------ Saving talloc report from 172.18.225.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1938441) Waiting for packet dumper to finish... 1 (prev_count=1938441, count=1943720) MTC@9e4dd418fd54: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail' was executed successfully (exit status: 0). MC@9e4dd418fd54: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@9e4dd418fd54: Terminating MTC. MC@9e4dd418fd54: MTC terminated. MC2> exit MC@9e4dd418fd54: Shutting down session. MC@9e4dd418fd54: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-hopping-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_toa256 pass->FAIL BTS_Tests.TC_meas_res_sign_tchf pass->FAIL BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass->FAIL BTS_Tests.TC_meas_res_sign_sdcch8 pass->FAIL BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass->FAIL BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass->FAIL BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass->FAIL BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_0 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass->FAIL BTS_Tests.TC_speech_no_rtp_tchf pass->FAIL BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass->FAIL BTS_Tests.TC_early_immediate_assignment pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass->FAIL BTS_Tests.TC_acch_overpower_always_on_facch pass->FAIL BTS_Tests.TC_acch_overpower_always_on_sacch pass->FAIL BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass->FAIL BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass->FAIL BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass->FAIL BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass->FAIL BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass->FAIL BTS_Tests_LAPDm.TC_nr_seq_error pass->FAIL BTS_Tests_LAPDm.TC_rec_invalid_frame pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_dcch pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass->FAIL BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass->FAIL BTS_Tests_LAPDm.TC_sabm_incorrect_c pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass->FAIL BTS_Tests_ASCI.TC_vbs_notification pass->FAIL BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_fail pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass->FAIL BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 40 xfail: 5 pass: 197 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-trxcon + docker kill jenkins-ttcn3-bts-test-latest-2067-trxcon jenkins-ttcn3-bts-test-latest-2067-trxcon + docker wait jenkins-ttcn3-bts-test-latest-2067-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker kill jenkins-ttcn3-bts-test-latest-2067-fake_trx jenkins-ttcn3-bts-test-latest-2067-fake_trx + docker wait jenkins-ttcn3-bts-test-latest-2067-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-bsc + docker kill jenkins-ttcn3-bts-test-latest-2067-bsc jenkins-ttcn3-bts-test-latest-2067-bsc + docker wait jenkins-ttcn3-bts-test-latest-2067-bsc 137 + docker_kill_wait jenkins-ttcn3-bts-test-latest-2067-bts + docker kill jenkins-ttcn3-bts-test-latest-2067-bts jenkins-ttcn3-bts-test-latest-2067-bts + docker wait jenkins-ttcn3-bts-test-latest-2067-bts 137 + clean_up_common + set +e + set +x ### Clean up ### + trap - EXIT INT TERM 0 + type clean_up + clean_up + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + sed -i s/classname='\([^']\+\)'/classname='\1:hopping'/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/junit-xml-hopping-21.log + network_clean + docker network inspect ttcn3-bts-test-225 + grep Name + cut -d : -f2 + awk -F" NR>1{print $2} + local containers= + [ -n ] + network_remove + set +x Removing network ttcn3-bts-test-225 + docker network remove ttcn3-bts-test-225 ttcn3-bts-test-225 + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/unix + fix_perms + set +x Fixing permissions + id -u + id -g + docker run --rm -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/_cache:/cache --name jenkins-ttcn3-bts-test-latest-2067-cleaner debian:bookworm sh -e -x -c chmod -R a+rX /data/ /cache/ chown -R 1000:1000 /data /cache + chmod -R a+rX /data/ /cache/ + chown -R 1000:1000 /data /cache + collect_logs + cat /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-generic/junit-xml-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-hopping/junit-xml-hopping-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-latest/logs/bts-tester-oml/junit-xml-oml-21.log <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='21' errors='0' skipped='0' inconc='0' time='3969.00'> <testcase classname='BTS_Tests' name='TC_est_dchan' time='15.127026'/> <testcase classname='BTS_Tests' name='TC_chan_act_stress' time='2.384945'/> <testcase classname='BTS_Tests' name='TC_chan_act_react' time='1.096867'/> <testcase classname='BTS_Tests' name='TC_chan_deact_not_active' time='1.204586'/> <testcase classname='BTS_Tests' name='TC_chan_act_wrong_nr' time='1.376065'/> <testcase classname='BTS_Tests' name='TC_deact_sacch' time='90.627302'/> <testcase classname='BTS_Tests' name='TC_sacch_filling' time='14.153255'/> <testcase classname='BTS_Tests' name='TC_sacch_info_mod' time='29.611577'/> <testcase classname='BTS_Tests' name='TC_sacch_multi' time='85.710724'/> <testcase classname='BTS_Tests' name='TC_sacch_multi_chg' time='94.267455'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act' time='29.122301'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_async' time='29.810837'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_sync' time='47.575988'/> <testcase classname='BTS_Tests' name='TC_rach_content' time='14.223110'/> <testcase classname='BTS_Tests' name='TC_rach_content_emerg' time='9.761571'/> <testcase classname='BTS_Tests' name='TC_rach_count' time='13.835342'/> <testcase classname='BTS_Tests' name='TC_rach_max_ta' time='10.662979'/> <testcase classname='BTS_Tests' name='TC_ho_rach' time='3.090998'/> <testcase classname='BTS_Tests' name='TC_ho_physical_info' time='3.253204'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rach_load_idle_thresh0' time='11.663736'/> <testcase classname='BTS_Tests' name='TC_rach_load_idle_below_thresh' time='6.130399'/> <testcase classname='BTS_Tests' name='TC_rach_load_count' time='20.928636'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf' time='8.971992'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_facch' time='9.091616'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh' time='17.136139'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_facch' time='17.041180'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_toa256' time='16.985746'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchf' time='33.112010'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh' time='16.685743'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch4' time='33.088600'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch8' time='65.027769'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh_toa256' time='21.298130'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_sapi3' time='8.948592'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_sapi3' time='9.088466'/> <testcase classname='BTS_Tests' name='TC_tx_power_start_ramp_up_bcch' time='7.717766'/> <testcase classname='BTS_Tests' name='TC_tx_power_down_bcch' time='10.753608'/> <testcase classname='BTS_Tests' name='TC_tx_power_ramp_adm_state_change' time='21.120073'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_ass' time='1.714385'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_power_control' time='2.032983'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_ctrl' time='62.691077'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active' time='15.535279'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active2' time='11.428366'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_up' time='20.637670'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_down' time='11.283254'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.680740'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_max' time='11.132493'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ms_pwr' time='2.762714'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ta' time='1.600308'/> <testcase classname='BTS_Tests' name='TC_rsl_modify_encr' time='13.928862'/> <testcase classname='BTS_Tests' name='TC_rsl_rf_resource_ind' time='14.850372'/> <testcase classname='BTS_Tests' name='TC_conn_fail_crit' time='17.703952'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_80percent' time='22.433845'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_80percent' time='22.362604'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent' time='34.358388'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_200percent' time='28.173779'/> <testcase classname='BTS_Tests' name='TC_rsl_protocol_error' time='0.746714'/> <testcase classname='BTS_Tests' name='TC_rsl_mand_ie_error' time='1.193971'/> <testcase classname='BTS_Tests' name='TC_rsl_ie_content_error' time='1.195999'/> <testcase classname='BTS_Tests' name='TC_si_sched_default' time='9.236162'/> <testcase classname='BTS_Tests' name='TC_si_sched_1' time='9.137402'/> <testcase classname='BTS_Tests' name='TC_si_sched_2bis' time='9.132929'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter' time='9.134711'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter_2bis' time='9.132648'/> <testcase classname='BTS_Tests' name='TC_si_sched_2quater' time='17.091931'/> <testcase classname='BTS_Tests' name='TC_si_sched_13' time='9.031337'/> <testcase classname='BTS_Tests' name='TC_si_sched_13_2bis_2ter_2quater' time='17.138966'/> <testcase classname='BTS_Tests' name='TC_ipa_dlcx_not_active' time='0.984941'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_twice_not_active' time='1.214622'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.210424'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.216964'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_sdcch_not_active' time='1.219499'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_ack_addr' time='1.233738'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent_with_ps' time='34.673027'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req' time='3.712170'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_ts' time='7.171557'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_bts' time='7.158081'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_trx' time='7.154925'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req' time='9.163218'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req_wrong_ts' time='5.137043'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si1' time='1.172157'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si3' time='1.199100'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si13' time='1.197127'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pdtch' time='4.297962'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ptcch' time='4.871409'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_bts' time='9.212547'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_trx' time='9.149116'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_ts' time='9.140871'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ts_inactive' time='6.121425'/> <testcase classname='BTS_Tests' name='TC_pcu_ptcch' time='6.762925'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_agch' time='4.212583'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pch' time='4.239875'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_pch' time='1.403654'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_agch' time='1.417776'/> <testcase classname='BTS_Tests' name='TC_pcu_rach_content' time='9.930495'/> <testcase classname='BTS_Tests' name='TC_pcu_ext_rach_content' time='9.791475'/> <testcase classname='BTS_Tests' name='TC_pcu_data_ind_lqual_cb' time='4.634076'/> <testcase classname='BTS_Tests' name='TC_pcu_paging_from_rsl' time='1.234542'/> <testcase classname='BTS_Tests' name='TC_pcu_time_ind' time='9.010275'/> <testcase classname='BTS_Tests' name='TC_pcu_rts_req' time='9.149608'/> <testcase classname='BTS_Tests' name='TC_pcu_oml_alert' time='4.169852'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_rr_suspend' time='1.608383'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_multi' time='1.193742'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_reconnect' time='3.205947'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.977471'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.239716'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si3gprs' time='3.961833'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si4gprs' time='3.233456'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.902868'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.111180'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_verify_info_ind' time='3.135131'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_act_deact' time='4.207212'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_double_act' time='1.184477'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_deact' time='4.218653'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.185100'/> <testcase classname='BTS_Tests' name='TC_pcu_info_ind_fh_params' time='1.192412'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv4' time='1.203007'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv6' time='1.195707'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_two_nsvc' time='1.201797'/> <testcase classname='BTS_Tests' name='TC_pcu_interf_ind' time='6.437382'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_unsol_deact' time='1.153566'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchf_act' time='1.213932'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_act' time='1.211893'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.231688'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.813062'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.992704'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act' time='1.199564'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.218692'/> <testcase classname='BTS_Tests' name='TC_rll_est_ind' time='1.384044'> <failure type='fail-verdict'>"BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }" BTS_Tests.ttcn:9389 BTS_Tests control part BTS_Tests.ttcn:7275 TC_rll_est_ind testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rll_est_req_DCCH_3' time='1.706398'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_ACCH_3' time='2.944596'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_0' time='2.441814'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_3' time='2.467523'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_0' time='8.638508'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_3' time='8.532890'/> <testcase classname='BTS_Tests' name='TC_rll_rel_req' time='20.206627'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_DCCH' time='2.090363'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_ACCH' time='5.348377'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_DCCH' time='2.167833'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_ACCH' time='8.631396'/> <testcase classname='BTS_Tests' name='TC_chan_act_a51' time='1.889851'/> <testcase classname='BTS_Tests' name='TC_chan_act_a52' time='2.000518'/> <testcase classname='BTS_Tests' name='TC_chan_act_a53' time='1.989702'/> <testcase classname='BTS_Tests' name='TC_chan_act_a54' time='1.991371'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a51' time='4.278888'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a52' time='4.224496'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a53' time='4.227369'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a54' time='4.240950'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_mdisc' time='1.147202'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_msg_type' time='1.224146'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_sequence' time='1.208835'/> <testcase classname='BTS_Tests' name='TC_lapdm_selftest' time='0.002850'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame' time='18.424362'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.002450'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_chopped_ipa_ping' time='24.675373'/> <testcase classname='BTS_Tests' name='TC_chopped_ipa_payload' time='42.536560'/> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_constant' time='16.255215'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_pf_ewma' time='31.368794'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchf' time='15.043851'> <failure type='fail-verdict'>Timeout waiting for TRAFFIC.ind BTS_Tests.ttcn:9426 BTS_Tests control part BTS_Tests.ttcn:8332 TC_speech_no_rtp_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchh' time='13.136752'> <failure type='fail-verdict'>Timeout waiting for TRAFFIC.ind BTS_Tests.ttcn:9427 BTS_Tests control part BTS_Tests.ttcn:8351 TC_speech_no_rtp_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchf' time='7.325040'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchh' time='5.385882'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchf' time='3.294039'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchh' time='3.373652'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf144' time='1.190149'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf96' time='2.043287'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf48' time='2.030070'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh48' time='2.306396'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf24' time='1.694294'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh24' time='2.307001'/> <testcase classname='BTS_Tests' name='TC_early_immediate_assignment' time='2.560717'> <failure type='fail-verdict'>Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately BTS_Tests.ttcn:9440 BTS_Tests control part BTS_Tests.ttcn:8889 TC_early_immediate_assignment testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh' time='21.685356'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh_dtx' time='13.774021'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_facch' time='11.968448'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_sacch' time='11.975690'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_limit' time='11.986027'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbch_load_idle_no_cbch' time='11.081542'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_1block' time='14.192155'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_2block' time='14.099265'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_3block' time='14.116109'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_4block' time='14.130738'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_multi' time='20.136861'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.047825'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.135230'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.120781'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.106003'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_idle' time='15.955075'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_overload' time='21.007000'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_1block' time='14.146277'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_2block' time='14.138596'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_3block' time='14.114856'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_4block' time='14.136554'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_multi' time='20.135930'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.050858'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.082414'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.116344'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_idle' time='16.049824'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_overload' time='18.127318'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro' time='12.125353'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro_end' time='16.090421'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_pcu' time='1.038300'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0' time='2.408596'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0_nopayload' time='2.397919'> <failure type='fail-verdict'>Initial SABM/UA must contain L3 payload but BTS accepts without BTS_Tests_LAPDm.ttcn:1475 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:157 TC_sabm_ua_dcch_sapi0_nopayload testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi3' time='21.353942'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi4' time='21.104133'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_contention' time='21.118988'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit' time='3.254574'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit_bts' time='81.371578'> <failure type='fail-verdict'>Incorrect number of SABM re-transmissions of observed: 7 BTS_Tests_LAPDm.ttcn:1480 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:315 TC_sabm_retransmit_bts testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_invalid_resp' time='18.818080'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_dm' time='13.923859'/> <testcase classname='BTS_Tests_LAPDm' name='TC_establish_ign_first_sabm' time='6.892623'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_seq_and_ack' time='14.348590'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_timer_recovery' time='11.797365'/> <testcase classname='BTS_Tests_LAPDm' name='TC_ns_seq_error' time='20.093486'> <failure type='fail-verdict'>Missing second REJ BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm' name='TC_nr_seq_error' time='20.900342'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1487 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:831 TC_nr_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm' name='TC_rec_invalid_frame' time='137.778336'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_dcch' time='14.569962'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_sacch' time='59.609941'/> <testcase classname='BTS_Tests_LAPDm' name='TC_t200_n200' time='59.093455'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rr_response_frame_loss' time='7.957277'/> <testcase classname='BTS_Tests_LAPDm' name='TC_incorrect_cr' time='15.018716'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_incorrect_c' time='4.067273'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment' time='21.860287'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment_state_unacked' time='16.861825'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vff' time='2.219870'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vhh' time='2.510118'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_hvhh' time='2.494495'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.484907'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.454421'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.529543'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.503126'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vff' time='1.251178'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vhh' time='1.594224'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_hvhh' time='1.573819'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='4.175050'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.166186'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.402460'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.143254'/> <testcase classname='BTS_Tests_ASCI' name='TC_vbs_notification' time='6.235146'> <failure type='fail-verdict'>Timeout waiting for NCH message BTS_Tests_ASCI.ttcn:415 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:127 TC_vbs_notification testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_uplink_free_and_busy' time='1.178923'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:416 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:188 TC_vgcs_uplink_free_and_busy testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_fail' time='1.230815'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:417 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:288 TC_vgcs_talker_fail testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_est_rel' time='1.242481'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:418 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:331 TC_vgcs_talker_est_rel testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_listener_det' time='1.245859'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:419 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:409 TC_vgcs_listener_det testcase </failure> </testcase> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='45' errors='0' skipped='0' inconc='0' time='3871.00'> <testcase classname='BTS_Tests:hopping' name='TC_est_dchan' time='15.130538'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_stress' time='2.428353'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_react' time='1.092758'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_deact_not_active' time='1.203496'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_wrong_nr' time='1.365390'/> <testcase classname='BTS_Tests:hopping' name='TC_deact_sacch' time='90.607221'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_filling' time='14.273702'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_info_mod' time='29.611953'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi' time='85.809417'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi_chg' time='94.386594'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act' time='29.278782'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_async' time='29.822447'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_sync' time='47.611639'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content' time='14.268067'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content_emerg' time='9.775460'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_count' time='13.835664'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_max_ta' time='10.656825'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_rach' time='3.788851'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_physical_info' time='3.243819'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_thresh0' time='11.655943'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_below_thresh' time='6.134134'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_count' time='20.928573'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf' time='8.988188'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_facch' time='9.094591'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh' time='2.118450'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9277 BTS_Tests control part BTS_Tests.ttcn:3659 TC_meas_res_speech_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_facch' time='2.260612'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9278 BTS_Tests control part BTS_Tests.ttcn:3663 TC_meas_res_speech_tchh_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_toa256' time='2.256995'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9279 BTS_Tests control part BTS_Tests.ttcn:3678 TC_meas_res_speech_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchf' time='10.298589'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 31, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9280 BTS_Tests control part BTS_Tests.ttcn:3692 TC_meas_res_sign_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh' time='2.114704'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9281 BTS_Tests control part BTS_Tests.ttcn:3705 TC_meas_res_sign_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch4' time='33.390339'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch8' time='3.216887'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9283 BTS_Tests control part BTS_Tests.ttcn:3731 TC_meas_res_sign_sdcch8 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh_toa256' time='2.227971'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9284 BTS_Tests control part BTS_Tests.ttcn:3745 TC_meas_res_sign_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_sapi3' time='9.231521'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_sapi3' time='9.096893'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_start_ramp_up_bcch' time='7.744424'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_down_bcch' time='10.780948'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_ramp_adm_state_change' time='21.161091'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_ass' time='2.277856'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_power_control' time='2.511297'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_ctrl' time='62.685725'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active' time='15.616346'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active2' time='11.415976'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_up' time='20.640950'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_down' time='11.276810'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.693880'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_max' time='11.106495'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ms_pwr' time='2.760312'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ta' time='1.604782'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_modify_encr' time='13.909122'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_rf_resource_ind' time='14.890056'/> <testcase classname='BTS_Tests:hopping' name='TC_conn_fail_crit' time='25.642408'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_80percent' time='22.313029'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_80percent' time='22.359102'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent' time='33.335699'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_200percent' time='28.169419'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_protocol_error' time='0.740710'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_mand_ie_error' time='1.201242'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ie_content_error' time='1.202950'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_default' time='9.238159'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_1' time='9.119368'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2bis' time='9.120907'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter' time='9.091836'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter_2bis' time='9.125702'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2quater' time='17.091970'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13' time='9.029508'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13_2bis_2ter_2quater' time='17.131360'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_dlcx_not_active' time='0.979682'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_twice_not_active' time='1.192795'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.205882'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.216067'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_sdcch_not_active' time='1.221235'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_ack_addr' time='1.224086'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent_with_ps' time='34.667300'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req' time='3.710831'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_ts' time='7.183880'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_bts' time='6.864982'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_trx' time='7.152522'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req' time='9.166628'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req_wrong_ts' time='5.133791'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si1' time='1.169170'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si3' time='1.197839'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si13' time='1.184919'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pdtch' time='4.249935'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ptcch' time='4.887280'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_bts' time='9.204214'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_trx' time='9.148247'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_ts' time='9.154808'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ts_inactive' time='6.131692'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ptcch' time='6.193023'> <failure type='fail-verdict'>Timeout waiting for L1CTL_RACH_CONF BTS_Tests.ttcn:9345 BTS_Tests control part BTS_Tests.ttcn:5742 TC_pcu_ptcch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_agch' time='4.208948'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pch' time='4.243108'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_pch' time='1.429424'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_agch' time='1.421575'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rach_content' time='9.933971'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ext_rach_content' time='9.803993'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_ind_lqual_cb' time='5.140782'> <failure type='fail-verdict'>"BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" BTS_Tests.ttcn:9352 BTS_Tests control part BTS_Tests.ttcn:6019 TC_pcu_data_ind_lqual_cb testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_paging_from_rsl' time='1.234742'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_time_ind' time='9.013797'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rts_req' time='9.154531'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_oml_alert' time='4.156591'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rr_suspend' time='1.608305'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_multi' time='1.189915'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_reconnect' time='3.212213'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.962490'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.259354'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si3gprs' time='3.956779'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si4gprs' time='3.231302'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.888533'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.096126'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_verify_info_ind' time='3.140335'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_act_deact' time='4.203045'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_double_act' time='1.191730'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_deact' time='4.206990'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.187764'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_info_ind_fh_params' time='1.195936'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv4' time='1.198931'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv6' time='1.198882'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_two_nsvc' time='1.201120'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_interf_ind' time='6.449003'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_unsol_deact' time='1.148981'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchf_act' time='1.204823'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_act' time='1.192354'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.212032'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.814464'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.986427'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act' time='1.204030'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.218815'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_ind' time='1.390052'> <failure type='fail-verdict'>"BTS_Tests.ttcn:7242 : Unexpected EST IND without L3 in { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } }" BTS_Tests.ttcn:9389 BTS_Tests control part BTS_Tests.ttcn:7275 TC_rll_est_ind testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_DCCH_3' time='1.689872'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_ACCH_3' time='2.945856'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_0' time='2.925663'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_3' time='2.917149'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_0' time='26.813176'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9394 BTS_Tests control part BTS_Tests.ttcn:7422 TC_rll_rel_ind_ACCH_0 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_3' time='26.514868'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9395 BTS_Tests control part BTS_Tests.ttcn:7434 TC_rll_rel_ind_ACCH_3 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_req' time='22.337920'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_DCCH' time='2.095515'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_ACCH' time='4.817629'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_DCCH' time='2.908625'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_ACCH' time='10.495903'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a51' time='2.578837'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a52' time='2.688845'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a53' time='2.682512'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a54' time='2.686270'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a51' time='4.962509'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a52' time='4.952494'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a53' time='4.928057'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a54' time='4.935795'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_mdisc' time='1.157687'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_msg_type' time='1.227362'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_sequence' time='1.208812'/> <testcase classname='BTS_Tests:hopping' name='TC_lapdm_selftest' time='0.003461'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame' time='18.381033'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.022410'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_ping' time='24.673334'/> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_payload' time='42.538806'/> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_constant' time='16.259414'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_pf_ewma' time='31.365891'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchf' time='15.079662'> <failure type='fail-verdict'>Timeout waiting for TRAFFIC.ind BTS_Tests.ttcn:9426 BTS_Tests control part BTS_Tests.ttcn:8332 TC_speech_no_rtp_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchh' time='13.138468'> <failure type='fail-verdict'>Timeout waiting for TRAFFIC.ind BTS_Tests.ttcn:9427 BTS_Tests control part BTS_Tests.ttcn:8351 TC_speech_no_rtp_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchf' time='7.362065'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchh' time='5.366932'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchf' time='3.337124'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchh' time='3.378017'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf144' time='1.350201'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf96' time='1.922578'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf48' time='2.019338'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh48' time='2.296060'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf24' time='1.701159'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh24' time='2.307682'/> <testcase classname='BTS_Tests:hopping' name='TC_early_immediate_assignment' time='2.578043'> <failure type='fail-verdict'>Expected IMM ASS to be delayed until Chan Act ACK, but it was passed to the MS immediately BTS_Tests.ttcn:9440 BTS_Tests control part BTS_Tests.ttcn:8889 TC_early_immediate_assignment testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh' time='10.201167'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9442 BTS_Tests control part BTS_Tests.ttcn:9083 TC_acch_overpower_rxqual_thresh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh_dtx' time='10.142487'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9443 BTS_Tests control part BTS_Tests.ttcn:9124 TC_acch_overpower_rxqual_thresh_dtx testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_facch' time='10.164627'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9444 BTS_Tests control part BTS_Tests.ttcn:9156 TC_acch_overpower_always_on_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_sacch' time='10.177199'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9445 BTS_Tests control part BTS_Tests.ttcn:9188 TC_acch_overpower_always_on_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_limit' time='10.176915'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9446 BTS_Tests control part BTS_Tests.ttcn:9219 TC_acch_overpower_limit testcase </failure> </testcase> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbch_load_idle_no_cbch' time='11.114121'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_1block' time='14.193854'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_2block' time='14.129758'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_3block' time='14.080559'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_4block' time='14.138568'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_multi' time='20.129195'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.065145'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.134984'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.098349'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.092343'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_idle' time='15.919911'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_overload' time='18.120114'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_1block' time='14.139455'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_2block' time='14.153995'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_3block' time='14.162367'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_4block' time='14.192779'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_multi' time='20.115742'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.095317'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.172214'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.109097'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_idle' time='16.091193'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_overload' time='18.131812'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro' time='12.118903'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro_end' time='16.079073'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_pcu' time='1.030062'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0' time='2.867564'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0_nopayload' time='2.878928'> <failure type='fail-verdict'>Initial SABM/UA must contain L3 payload but BTS accepts without BTS_Tests_LAPDm.ttcn:1475 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:157 TC_sabm_ua_dcch_sapi0_nopayload testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi3' time='21.393849'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi4' time='21.155244'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_contention' time='21.109156'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit' time='3.043572'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit_bts' time='81.363165'> <failure type='fail-verdict'>Incorrect number of SABM re-transmissions of observed: 7 BTS_Tests_LAPDm.ttcn:1480 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:315 TC_sabm_retransmit_bts testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_invalid_resp' time='18.930058'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_dm' time='13.935971'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_establish_ign_first_sabm' time='6.909331'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_seq_and_ack' time='29.528653'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1484 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:579 TC_iframe_seq_and_ack testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_timer_recovery' time='28.691537'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1485 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:685 TC_iframe_timer_recovery testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_ns_seq_error' time='21.295568'> <failure type='fail-verdict'>Missing second REJ BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_nr_seq_error' time='20.913076'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1487 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:831 TC_nr_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rec_invalid_frame' time='118.526492'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" BTS_Tests_LAPDm.ttcn:1488 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:961 TC_rec_invalid_frame testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_dcch' time='12.866604'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1489 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1088 TC_segm_concat_dcch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_sacch' time='48.389847'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1490 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1093 TC_segm_concat_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_t200_n200' time='61.208715'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rr_response_frame_loss' time='11.224802'> <failure type='fail-verdict'>Timeout waiting for RR or REJ BTS_Tests_LAPDm.ttcn:1492 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1239 TC_rr_response_frame_loss testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_incorrect_cr' time='15.678833'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_incorrect_c' time='22.965875'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1494 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1341 TC_sabm_incorrect_c testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment' time='25.592189'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 4, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1495 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1403 TC_normal_reestablishment testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment_state_unacked' time='25.065019'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vff' time='2.133594'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vhh' time='2.499215'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_hvhh' time='2.483843'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.473040'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.456995'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.499308'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.471161'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vff' time='1.244079'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vhh' time='1.323764'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_hvhh' time='1.297426'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.278500'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.274354'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.314243'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.294124'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vbs_notification' time='6.237120'> <failure type='fail-verdict'>Timeout waiting for NCH message BTS_Tests_ASCI.ttcn:415 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:127 TC_vbs_notification testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_uplink_free_and_busy' time='1.174073'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:416 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:188 TC_vgcs_uplink_free_and_busy testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_fail' time='1.242628'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:417 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:288 TC_vgcs_talker_fail testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_est_rel' time='1.245647'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:418 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:331 TC_vgcs_talker_est_rel testcase </failure> </testcase> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_listener_det' time='1.242517'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests_ASCI.ttcn:419 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:409 TC_vgcs_listener_det testcase </failure> </testcase> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='17' failures='0' errors='0' skipped='0' inconc='0' time='95.00'> <testcase classname='BTS_Tests_OML' name='TC_wrong_mdisc' time='10.581616'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_msgtype' time='0.696785'/> <testcase classname='BTS_Tests_OML' name='TC_short_length' time='0.696409'/> <testcase classname='BTS_Tests_OML' name='TC_long_length' time='0.682146'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_placement' time='0.704077'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_seq' time='0.703114'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_obj_class' time='0.688584'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_bts_nr' time='0.699457'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_trx_nr' time='0.678801'/> <testcase classname='BTS_Tests_OML' name='TC_radio_carrier_opstart_noattr' time='0.682940'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart' time='0.687154'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart_noattr' time='0.704881'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart' time='0.688003'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart_noattr' time='0.655318'/> <testcase classname='BTS_Tests_OML' name='TC_initial_state_reports' time='0.677403'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_osmo_pcu_anr_fwd' time='0.689986'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_rsl_connect_nack' time='0.691107'/> </testsuite> Recording test results [Checks API] No suitable checks publisher found. Build step 'Publish JUnit test result report' changed build result to UNSTABLE Archiving artifacts Finished: UNSTABLE